diff --git a/audio.kicad_sch b/audio.kicad_sch index 883ef5a..f2a5be1 100644 --- a/audio.kicad_sch +++ b/audio.kicad_sch @@ -8,6 +8,515 @@ (title "ʕ·ᴥ·ʔ-audio") ) (lib_symbols + (symbol "+5V_1" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "+5V_1_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+5V_1_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Amplifier_Operational:LM324" + (pin_names + (offset 0.127) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "LM324" + (at 0 -5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at -1.27 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2902-n.pdf" + (at 1.27 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Low-Power, Quad-Operational Amplifiers, DIP-14/SOIC-14/SSOP-14" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "quad opamp" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "SOIC*3.9x8.7mm*P1.27mm* DIP*W7.62mm* TSSOP*4.4x5mm*P0.65mm* SSOP*5.3x6.2mm*P0.65mm* MSOP*3x3mm*P0.5mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "LM324_1_1" + (polyline + (pts + (xy -5.08 5.08) (xy 5.08 0) (xy -5.08 -5.08) (xy -5.08 5.08) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output line + (at 7.62 0 180) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 2.54) + (name "-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 2.54) + (name "+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "LM324_2_1" + (polyline + (pts + (xy -5.08 5.08) (xy 5.08 0) (xy -5.08 -5.08) (xy -5.08 5.08) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 2.54) + (name "+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 2.54) + (name "-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 0 180) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "LM324_3_1" + (polyline + (pts + (xy -5.08 5.08) (xy 5.08 0) (xy -5.08 -5.08) (xy -5.08 5.08) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 2.54) + (name "+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 0 180) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 2.54) + (name "-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "LM324_4_1" + (polyline + (pts + (xy -5.08 5.08) (xy 5.08 0) (xy -5.08 -5.08) (xy -5.08 5.08) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 2.54) + (name "+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 2.54) + (name "-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 0 180) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "LM324_5_1" + (pin power_in line + (at -2.54 -7.62 90) + (length 3.81) + (name "V-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -2.54 7.62 270) + (length 3.81) + (name "V+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) (symbol "Device:C" (pin_numbers hide) (pin_names @@ -144,392 +653,6 @@ ) ) ) - (symbol "Device:Opamp_Quad" - (exclude_from_sim no) - (in_bom yes) - (on_board yes) - (property "Reference" "U" - (at 0 5.08 0) - (effects - (font - (size 1.27 1.27) - ) - (justify left) - ) - ) - (property "Value" "Opamp_Quad" - (at 0 -5.08 0) - (effects - (font - (size 1.27 1.27) - ) - (justify left) - ) - ) - (property "Footprint" "" - (at 0 0 0) - (effects - (font - (size 1.27 1.27) - ) - (hide yes) - ) - ) - (property "Datasheet" "~" - (at 0 0 0) - (effects - (font - (size 1.27 1.27) - ) - (hide yes) - ) - ) - (property "Description" "Quad operational amplifier" - (at 0 0 0) - (effects - (font - (size 1.27 1.27) - ) - (hide yes) - ) - ) - (property "ki_locked" "" - (at 0 0 0) - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (property "ki_keywords" "quad opamp" - (at 0 0 0) - (effects - (font - (size 1.27 1.27) - ) - (hide yes) - ) - ) - (property "ki_fp_filters" "SOIC*3.9x8.7mm*P1.27mm* DIP*W7.62mm* TSSOP*4.4x5mm*P0.65mm* SSOP*5.3x6.2mm*P0.65mm*" - (at 0 0 0) - (effects - (font - (size 1.27 1.27) - ) - (hide yes) - ) - ) - (symbol "Opamp_Quad_1_1" - (polyline - (pts - (xy -5.08 5.08) (xy 5.08 0) (xy -5.08 -5.08) (xy -5.08 5.08) - ) - (stroke - (width 0.254) - (type default) - ) - (fill - (type background) - ) - ) - (pin output line - (at 7.62 0 180) - (length 2.54) - (name "~" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (number "1" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - ) - (pin input line - (at -7.62 -2.54 0) - (length 2.54) - (name "-" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (number "2" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - ) - (pin input line - (at -7.62 2.54 0) - (length 2.54) - (name "+" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (number "3" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - ) - ) - (symbol "Opamp_Quad_2_1" - (polyline - (pts - (xy -5.08 5.08) (xy 5.08 0) (xy -5.08 -5.08) (xy -5.08 5.08) - ) - (stroke - (width 0.254) - (type default) - ) - (fill - (type background) - ) - ) - (pin input line - (at -7.62 2.54 0) - (length 2.54) - (name "+" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (number "5" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - ) - (pin input line - (at -7.62 -2.54 0) - (length 2.54) - (name "-" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (number "6" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - ) - (pin output line - (at 7.62 0 180) - (length 2.54) - (name "~" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (number "7" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - ) - ) - (symbol "Opamp_Quad_3_1" - (polyline - (pts - (xy -5.08 5.08) (xy 5.08 0) (xy -5.08 -5.08) (xy -5.08 5.08) - ) - (stroke - (width 0.254) - (type default) - ) - (fill - (type background) - ) - ) - (pin input line - (at -7.62 2.54 0) - (length 2.54) - (name "+" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (number "10" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - ) - (pin output line - (at 7.62 0 180) - (length 2.54) - (name "~" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (number "8" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - ) - (pin input line - (at -7.62 -2.54 0) - (length 2.54) - (name "-" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (number "9" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - ) - ) - (symbol "Opamp_Quad_4_1" - (polyline - (pts - (xy -5.08 5.08) (xy 5.08 0) (xy -5.08 -5.08) (xy -5.08 5.08) - ) - (stroke - (width 0.254) - (type default) - ) - (fill - (type background) - ) - ) - (pin input line - (at -7.62 2.54 0) - (length 2.54) - (name "+" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (number "12" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - ) - (pin input line - (at -7.62 -2.54 0) - (length 2.54) - (name "-" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (number "13" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - ) - (pin output line - (at 7.62 0 180) - (length 2.54) - (name "~" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (number "14" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - ) - ) - (symbol "Opamp_Quad_5_1" - (pin power_in line - (at -2.54 -7.62 90) - (length 3.81) - (name "V-" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (number "11" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - ) - (pin power_in line - (at -2.54 7.62 270) - (length 3.81) - (name "V+" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - (number "4" - (effects - (font - (size 1.27 1.27) - ) - ) - ) - ) - ) - ) (symbol "Device:R" (pin_numbers hide) (pin_names @@ -651,6 +774,167 @@ ) ) ) + (symbol "Device:R_Potentiometer" + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "RV" + (at -4.445 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "R_Potentiometer" + (at -2.54 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Potentiometer" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "resistor variable" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Potentiometer*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "R_Potentiometer_0_1" + (polyline + (pts + (xy 2.54 0) (xy 1.524 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.143 0) (xy 2.286 0.508) (xy 2.286 -0.508) (xy 1.143 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 1.016 2.54) + (end -1.016 -2.54) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "R_Potentiometer_1_1" + (pin passive line + (at 0 3.81 270) + (length 1.27) + (name "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 3.81 0 180) + (length 1.27) + (name "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 1.27) + (name "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) (symbol "Device:Speaker" (pin_names (offset 0) hide) @@ -775,6 +1059,294 @@ ) ) ) + (symbol "GND_1" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_1_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_1_1_1" + (pin power_in line + (at 0 0 270) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "GND_2" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_2_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_2_1_1" + (pin power_in line + (at 0 0 270) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "GND_3" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_3_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_3_1_1" + (pin power_in line + (at 0 0 270) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) (symbol "YM21511:YM2151" (exclude_from_sim no) (in_bom yes) @@ -1844,12 +2416,30 @@ (color 0 0 0 0) (uuid "5f9f189c-6a42-4308-b443-778ac3102f77") ) + (junction + (at 191.77 142.24) + (diameter 0) + (color 0 0 0 0) + (uuid "6a95b16d-aad9-47e2-be26-14acb122f29a") + ) + (junction + (at 205.74 142.24) + (diameter 0) + (color 0 0 0 0) + (uuid "94b66c85-d5f5-414f-aee0-3fbb617ee36b") + ) (junction (at 166.37 114.3) (diameter 0) (color 0 0 0 0) (uuid "95a11e6e-04bf-44c0-8c3b-a83e5b04f677") ) + (junction + (at 191.77 123.19) + (diameter 0) + (color 0 0 0 0) + (uuid "96c7cab9-bba3-4164-b0f4-de2fe60e9342") + ) (junction (at 214.63 91.44) (diameter 0) @@ -2011,6 +2601,16 @@ ) (uuid "1c554f43-b943-49ba-a0f2-a6faea678cd1") ) + (wire + (pts + (xy 205.74 142.24) (xy 205.74 153.67) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1f02026e-ea7f-4699-9bd7-d4bdea785ff0") + ) (wire (pts (xy 176.53 133.35) (xy 163.83 133.35) @@ -2021,6 +2621,16 @@ ) (uuid "266252b8-db8d-4639-9f17-575d9aadbaa1") ) + (wire + (pts + (xy 205.74 142.24) (xy 191.77 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "405f4262-c4ae-42b3-9ec3-ec04cbaf1306") + ) (wire (pts (xy 176.53 95.25) (xy 171.45 95.25) @@ -2071,6 +2681,16 @@ ) (uuid "4c020cdf-7b9c-4d06-9a9d-33472de4b080") ) + (wire + (pts + (xy 205.74 142.24) (xy 205.74 123.19) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4d41fad7-aff9-4cf0-9c00-62857b601793") + ) (wire (pts (xy 171.45 95.25) (xy 171.45 83.82) @@ -2131,6 +2751,16 @@ ) (uuid "709c7810-2b21-4d8c-90f8-cad9fca0e12f") ) + (wire + (pts + (xy 205.74 123.19) (xy 191.77 123.19) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7c9a8cc8-418c-4dfe-8866-ec9e9633bd55") + ) (wire (pts (xy 207.01 87.63) (xy 207.01 91.44) @@ -4870,6 +5500,16 @@ ) (uuid "669365fa-690f-428d-a857-d851e13621fd") ) + (text "is this how volume knobs work?\nidk!" + (exclude_from_sim no) + (at 224.79 147.066 0) + (effects + (font + (size 1.27 1.27) + ) + ) + (uuid "b3b76378-706d-4b74-bca2-f4733e4895c2") + ) (label "D5" (at 80.01 93.98 180) (fields_autoplaced yes) @@ -4914,6 +5554,17 @@ ) (uuid "1bdafcf3-171e-467c-ba38-33ddb6ee88a0") ) + (label "Right" + (at 243.84 76.2 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "4ab97648-783f-4452-962f-aa6987dc13d3") + ) (label "A0" (at 40.64 81.28 0) (fields_autoplaced yes) @@ -4991,6 +5642,17 @@ ) (uuid "d666e0b9-167e-435b-aa6b-7d99c1df11cb") ) + (label "Left" + (at 243.84 91.44 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "dcbaa0bf-9791-40c6-bce4-c5a022b3c5e6") + ) (global_label "SH2" (shape input) (at 135.89 83.82 180) @@ -5411,7 +6073,7 @@ ) ) (symbol - (lib_id "Device:Opamp_Quad") + (lib_id "Amplifier_Operational:LM324") (at 184.15 116.84 0) (unit 3) (exclude_from_sim no) @@ -5436,8 +6098,8 @@ ) ) ) - (property "Footprint" "" - (at 184.15 116.84 0) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 182.88 114.3 0) (effects (font (size 1.27 1.27) @@ -5445,8 +6107,8 @@ (hide yes) ) ) - (property "Datasheet" "~" - (at 184.15 116.84 0) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2902-n.pdf" + (at 185.42 111.76 0) (effects (font (size 1.27 1.27) @@ -5454,7 +6116,7 @@ (hide yes) ) ) - (property "Description" "" + (property "Description" "Low-Power, Quad-Operational Amplifiers, DIP-14/SOIC-14/SSOP-14" (at 184.15 116.84 0) (effects (font @@ -5542,7 +6204,7 @@ (justify left) ) ) - (property "Footprint" "" + (property "Footprint" "Capacitor_THT:C_Disc_D9.0mm_W2.5mm_P5.00mm" (at 167.3352 121.92 0) (effects (font @@ -5785,7 +6447,7 @@ ) ) (symbol - (lib_id "Device:Opamp_Quad") + (lib_id "Amplifier_Operational:LM324") (at 184.15 78.74 0) (unit 1) (exclude_from_sim no) @@ -5810,8 +6472,8 @@ ) ) ) - (property "Footprint" "" - (at 184.15 78.74 0) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 182.88 76.2 0) (effects (font (size 1.27 1.27) @@ -5819,8 +6481,8 @@ (hide yes) ) ) - (property "Datasheet" "~" - (at 184.15 78.74 0) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2902-n.pdf" + (at 185.42 73.66 0) (effects (font (size 1.27 1.27) @@ -5828,7 +6490,7 @@ (hide yes) ) ) - (property "Description" "" + (property "Description" "Low-Power, Quad-Operational Amplifiers, DIP-14/SOIC-14/SSOP-14" (at 184.15 78.74 0) (effects (font @@ -5955,7 +6617,7 @@ ) ) (symbol - (lib_id "Device:Opamp_Quad") + (lib_id "Amplifier_Operational:LM324") (at 184.15 97.79 0) (unit 2) (exclude_from_sim no) @@ -5980,8 +6642,8 @@ ) ) ) - (property "Footprint" "" - (at 184.15 97.79 0) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 182.88 95.25 0) (effects (font (size 1.27 1.27) @@ -5989,8 +6651,8 @@ (hide yes) ) ) - (property "Datasheet" "~" - (at 184.15 97.79 0) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2902-n.pdf" + (at 185.42 92.71 0) (effects (font (size 1.27 1.27) @@ -5998,7 +6660,7 @@ (hide yes) ) ) - (property "Description" "" + (property "Description" "Low-Power, Quad-Operational Amplifiers, DIP-14/SOIC-14/SSOP-14" (at 184.15 97.79 0) (effects (font @@ -6124,6 +6786,79 @@ ) ) ) + (symbol + (lib_id "Device:R_Potentiometer") + (at 201.93 153.67 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7e3caca2-3c56-4d84-8d2f-b86b29f79585") + (property "Reference" "RV1" + (at 199.39 152.3999 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "R_Potentiometer" + (at 199.39 154.9399 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 201.93 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 201.93 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Potentiometer" + (at 201.93 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "3" + (uuid "4b29db7d-90a3-430a-8ca2-b2458e47cb6e") + ) + (pin "2" + (uuid "26331fb0-1937-448b-bfcf-d36f12c5ab45") + ) + (pin "1" + (uuid "39e37318-9a41-4f2d-80ef-c4657592feed") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "RV1") + (unit 1) + ) + ) + ) + ) (symbol (lib_id "YM21511:YM2151") (at 62.23 87.63 0) @@ -6150,7 +6885,7 @@ ) ) ) - (property "Footprint" "" + (property "Footprint" "Package_DIP:DIP-24_W15.24mm_Socket" (at 59.69 86.36 0) (effects (font @@ -6484,7 +7219,7 @@ (justify left) ) ) - (property "Footprint" "" + (property "Footprint" "Capacitor_THT:C_Disc_D9.0mm_W2.5mm_P5.00mm" (at 164.7952 140.97 0) (effects (font @@ -6593,6 +7328,73 @@ ) ) ) + (symbol + (lib_name "GND_3") + (lib_id "power:GND") + (at 201.93 157.48 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a001e4db-97f3-4fce-98c4-f4ad19f84775") + (property "Reference" "#PWR0115" + (at 201.93 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 201.93 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 201.93 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 201.93 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 201.93 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "ccd0f779-1fee-4e25-9ddf-6bb725104755") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR0115") + (unit 1) + ) + ) + ) + ) (symbol (lib_id "power:+5V") (at 214.63 76.2 0) @@ -6727,7 +7529,7 @@ ) ) (symbol - (lib_id "Device:Opamp_Quad") + (lib_id "Amplifier_Operational:LM324") (at 217.17 83.82 0) (unit 5) (exclude_from_sim no) @@ -6737,7 +7539,7 @@ (fields_autoplaced yes) (uuid "a3a8806d-f1bf-46b1-9f6e-5397e32da45e") (property "Reference" "U19" - (at 215.9 82.55 0) + (at 215.9 82.5499 0) (effects (font (size 1.27 1.27) @@ -6746,7 +7548,7 @@ ) ) (property "Value" "Opamp_Quad" - (at 215.9 85.09 0) + (at 215.9 85.0899 0) (effects (font (size 1.27 1.27) @@ -6754,8 +7556,8 @@ (justify left) ) ) - (property "Footprint" "" - (at 217.17 83.82 0) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 215.9 81.28 0) (effects (font (size 1.27 1.27) @@ -6763,8 +7565,8 @@ (hide yes) ) ) - (property "Datasheet" "~" - (at 217.17 83.82 0) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2902-n.pdf" + (at 218.44 78.74 0) (effects (font (size 1.27 1.27) @@ -6772,7 +7574,7 @@ (hide yes) ) ) - (property "Description" "" + (property "Description" "Low-Power, Quad-Operational Amplifiers, DIP-14/SOIC-14/SSOP-14" (at 217.17 83.82 0) (effects (font @@ -6832,6 +7634,74 @@ ) ) ) + (symbol + (lib_name "GND_1") + (lib_id "power:GND") + (at 243.84 78.74 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "aebebb79-b018-4a69-8612-d1ae58ea3784") + (property "Reference" "#PWR0111" + (at 237.49 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 240.03 78.7399 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 243.84 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 243.84 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 243.84 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c43c7741-dc4d-49b6-a104-a11d49e43767") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR0111") + (unit 1) + ) + ) + ) + ) (symbol (lib_id "power:+5V") (at 71.12 78.74 270) @@ -6899,6 +7769,74 @@ ) ) ) + (symbol + (lib_name "GND_2") + (lib_id "power:GND") + (at 243.84 93.98 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "b9280af6-dbe2-494a-9582-44d86b671118") + (property "Reference" "#PWR0113" + (at 237.49 93.98 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 240.03 93.9799 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 243.84 93.98 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 243.84 93.98 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 243.84 93.98 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "1cc6c6dc-5e6f-41dc-976e-cb09f3de92f1") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR0113") + (unit 1) + ) + ) + ) + ) (symbol (lib_id "Device:R") (at 172.72 100.33 90) @@ -6925,7 +7863,7 @@ ) ) ) - (property "Footprint" "" + (property "Footprint" "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal" (at 172.72 102.108 90) (effects (font @@ -6968,7 +7906,7 @@ ) ) (symbol - (lib_id "Device:Opamp_Quad") + (lib_id "Amplifier_Operational:LM324") (at 184.15 135.89 0) (unit 4) (exclude_from_sim no) @@ -6993,8 +7931,8 @@ ) ) ) - (property "Footprint" "" - (at 184.15 135.89 0) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 182.88 133.35 0) (effects (font (size 1.27 1.27) @@ -7002,8 +7940,8 @@ (hide yes) ) ) - (property "Datasheet" "~" - (at 184.15 135.89 0) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2902-n.pdf" + (at 185.42 130.81 0) (effects (font (size 1.27 1.27) @@ -7011,7 +7949,7 @@ (hide yes) ) ) - (property "Description" "" + (property "Description" "Low-Power, Quad-Operational Amplifiers, DIP-14/SOIC-14/SSOP-14" (at 184.15 135.89 0) (effects (font @@ -7099,7 +8037,7 @@ (justify left) ) ) - (property "Footprint" "" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 92.4052 86.36 0) (effects (font @@ -7141,6 +8079,73 @@ ) ) ) + (symbol + (lib_name "+5V_1") + (lib_id "power:+5V") + (at 201.93 149.86 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "d18d98f7-601e-4a1e-a70d-b2da73a44df4") + (property "Reference" "#PWR0114" + (at 201.93 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 201.93 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 201.93 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 201.93 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 201.93 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "fd4dd7a8-b6a8-4cb0-90b3-13aff0bfff7b") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR0114") + (unit 1) + ) + ) + ) + ) (symbol (lib_id "power:GND") (at 53.34 99.06 270) @@ -7301,7 +8306,7 @@ (justify left) ) ) - (property "Footprint" "" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 207.9752 87.63 0) (effects (font @@ -7371,7 +8376,7 @@ (justify left) ) ) - (property "Footprint" "" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 110.1852 86.36 0) (effects (font @@ -7441,7 +8446,7 @@ (justify left) ) ) - (property "Footprint" "" + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_1x02_P2.54mm_Vertical" (at 248.92 96.52 0) (effects (font @@ -7511,7 +8516,7 @@ (justify left) ) ) - (property "Footprint" "" + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_1x02_P2.54mm_Vertical" (at 248.92 81.28 0) (effects (font @@ -7579,7 +8584,7 @@ ) ) ) - (property "Footprint" "" + (property "Footprint" "Package_DIP:DIP-16_W7.62mm_Socket" (at 146.05 68.58 0) (effects (font diff --git a/audio.kicad_sch-bak b/audio.kicad_sch-bak index 88d7a2d..e8e6ec0 100644 --- a/audio.kicad_sch-bak +++ b/audio.kicad_sch-bak @@ -1,508 +1,7669 @@ -(kicad_sch (version 20230121) (generator eeschema) - - (uuid ff7fdb17-b492-4d18-9a3f-a2fd01911dec) - - (paper "A4") - - (title_block - (title "ʕ·ᴥ·ʔ-audio") - ) - - (lib_symbols - (symbol "74xx:74HC590" (in_bom yes) (on_board yes) - (property "Reference" "U" (at -7.62 16.51 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC590" (at -7.62 -13.97 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 1.27 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/74HC590.pdf" (at 0 1.27 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "HCMOS Counter 3State" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "8-bit Binary Counter with Output Register 3-State Outputs, SOIC-16/TSSOP-16" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP*W7.62mm* SOIC*3.9x9.9mm*P1.27mm* TSSOP*4.4x5mm*P0.65mm* SOIC*5.3x10.2mm*P1.27mm* SOIC*7.5x10.3mm*P1.27mm*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "74HC590_1_0" - (pin tri_state line (at 10.16 10.16 180) (length 2.54) - (name "Q1" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 0 0) (length 2.54) - (name "~{MRC}" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 7.62 0) (length 2.54) - (name "CPC" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 12.7 0) (length 2.54) - (name "~{CE}" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 5.08 0) (length 2.54) - (name "CPR" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -5.08 0) (length 2.54) - (name "~{OE}" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 12.7 180) (length 2.54) - (name "Q0" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 17.78 270) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 7.62 180) (length 2.54) - (name "Q2" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 5.08 180) (length 2.54) - (name "Q3" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 2.54 180) (length 2.54) - (name "Q4" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 0 180) (length 2.54) - (name "Q5" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 -2.54 180) (length 2.54) - (name "Q6" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 -5.08 180) (length 2.54) - (name "Q7" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -15.24 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 10.16 -10.16 180) (length 2.54) - (name "~{RCO}" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC590_1_1" - (rectangle (start -7.62 15.24) (end 7.62 -12.7) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - ) - (symbol "Device:Speaker" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) - (property "Reference" "LS" (at 1.27 5.715 0) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Value" "Speaker" (at 1.27 3.81 0) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (at 0 -5.08 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (at -0.254 -1.27 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "speaker sound" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Speaker" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "Speaker_0_0" - (rectangle (start -2.54 1.27) (end 1.016 -3.81) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.016 1.27) - (xy 3.556 3.81) - (xy 3.556 -6.35) - (xy 1.016 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - ) - (symbol "Speaker_1_1" - (pin input line (at -5.08 0 0) (length 2.54) - (name "1" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -5.08 -2.54 0) (length 2.54) - (name "2" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Memory_EEPROM:28C256" (in_bom yes) (on_board yes) - (property "Reference" "U" (at -7.62 26.67 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "28C256" (at 2.54 -26.67 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "Parallel EEPROM 256Kb" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Paged Parallel EEPROM 256Kb (32K x 8), DIP-28/SOIC-28" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP*W15.24mm* SOIC*7.5x17.9mm*P1.27mm*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "28C256_1_1" - (rectangle (start -7.62 25.4) (end 7.62 -25.4) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -10.16 -12.7 0) (length 2.54) - (name "A14" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 22.86 0) (length 2.54) - (name "A0" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 22.86 180) (length 2.54) - (name "D0" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 20.32 180) (length 2.54) - (name "D1" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 17.78 180) (length 2.54) - (name "D2" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -27.94 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 15.24 180) (length 2.54) - (name "D3" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 12.7 180) (length 2.54) - (name "D4" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 10.16 180) (length 2.54) - (name "D5" (effects (font (size 1.27 1.27)))) - (number "17" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 7.62 180) (length 2.54) - (name "D6" (effects (font (size 1.27 1.27)))) - (number "18" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 5.08 180) (length 2.54) - (name "D7" (effects (font (size 1.27 1.27)))) - (number "19" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -7.62 0) (length 2.54) - (name "A12" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -22.86 0) (length 2.54) - (name "~{CS}" (effects (font (size 1.27 1.27)))) - (number "20" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -2.54 0) (length 2.54) - (name "A10" (effects (font (size 1.27 1.27)))) - (number "21" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -20.32 0) (length 2.54) - (name "~{OE}" (effects (font (size 1.27 1.27)))) - (number "22" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -5.08 0) (length 2.54) - (name "A11" (effects (font (size 1.27 1.27)))) - (number "23" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 0 0) (length 2.54) - (name "A9" (effects (font (size 1.27 1.27)))) - (number "24" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 2.54 0) (length 2.54) - (name "A8" (effects (font (size 1.27 1.27)))) - (number "25" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -10.16 0) (length 2.54) - (name "A13" (effects (font (size 1.27 1.27)))) - (number "26" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -17.78 0) (length 2.54) - (name "~{WE}" (effects (font (size 1.27 1.27)))) - (number "27" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 27.94 270) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "28" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 5.08 0) (length 2.54) - (name "A7" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 7.62 0) (length 2.54) - (name "A6" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 10.16 0) (length 2.54) - (name "A5" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 12.7 0) (length 2.54) - (name "A4" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 15.24 0) (length 2.54) - (name "A3" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 17.78 0) (length 2.54) - (name "A2" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 20.32 0) (length 2.54) - (name "A1" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) - ) - - (junction (at 45.72 128.27) (diameter 0) (color 0 0 0 0) - (uuid 182aee58-cf5a-4b2b-887f-832ed61a32c2) - ) - (junction (at 45.72 123.19) (diameter 0) (color 0 0 0 0) - (uuid edc78de3-d7c9-41c8-becb-737935085971) - ) - - (wire (pts (xy 45.72 123.19) (xy 45.72 128.27)) - (stroke (width 0) (type default)) - (uuid 116ca04b-bacc-4202-940c-7c129a9a682c) - ) - (wire (pts (xy 41.91 123.19) (xy 45.72 123.19)) - (stroke (width 0) (type default)) - (uuid ce3d9dec-cf79-4b6f-8d10-81603253c3c8) - ) - (wire (pts (xy 45.72 128.27) (xy 45.72 130.81)) - (stroke (width 0) (type default)) - (uuid e98ec53a-255e-4359-8b69-9a675ac4c436) - ) - - (text "okay so here's the idea: \nthere's a 32k voicebank for george's voice\ni need a way for the cpu to asynchronously\npick a voice note to be played, and then\ntrigger an interrupt for when the sample ends\nso that we know that the next sample is ready to be queued up\ni'm thinking that the cpu sees an 8 bit register\nto select a voice sample and some extra stuff,\n each sample is 2k long, so 16 are available, \nleaving 4 bits to do stuff like pitch shifting\nor setting volume, then the voice circuit \nreads from the register, selects the corresponding sample\nfrom memory, sends the sample data to a shift register\n(idk how digital audio works so i'll have to look into that lol)\ni'm gonna wanna use a binary counter to tell when we've read 2k\nof sample data, and then when we hit that amount stop reading from the rom,\nsend an interrupt, and wait for the next instruction" - (at 205.74 52.07 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid eabb0f66-e6b5-4a10-9e48-66011a942fc3) - ) - - (symbol (lib_id "74xx:74HC590") (at 55.88 135.89 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 28a9d459-6bdf-4596-aa4f-c9197e5adfff) - (property "Reference" "U27" (at 58.0741 115.57 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "74HC590" (at 58.0741 118.11 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 55.88 134.62 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/74HC590.pdf" (at 55.88 134.62 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b6a08dda-bbe6-47e5-aaef-4e5bd7127cba)) - (pin "10" (uuid 384ce758-f7b1-425f-9bf0-d091d36c468c)) - (pin "11" (uuid 173ecfb2-ac1f-41f5-90ec-113c982cf570)) - (pin "12" (uuid 53361efd-8000-4591-9f28-2f0e26105684)) - (pin "13" (uuid e6b4b946-50de-464a-9973-0ca270662d4f)) - (pin "14" (uuid e7773996-af80-492d-af0f-5628fed7f72c)) - (pin "15" (uuid 1be6e73a-10d5-4b47-a2fd-bb8d3b182bbc)) - (pin "16" (uuid 84e0437b-ee79-4a36-8707-e26553651ec9)) - (pin "2" (uuid f250f4f1-3833-45ec-a6a6-cf75fdd9d0e8)) - (pin "3" (uuid d1c1ce8a-cf06-414e-8f7c-1347b0e7acb8)) - (pin "4" (uuid a1f28b5c-8aa1-4c49-b912-1d80b39f81f5)) - (pin "5" (uuid 219d8624-d41b-40f0-afcd-2a98585711d8)) - (pin "6" (uuid b0869bfe-59ed-4764-ba88-827487353be8)) - (pin "7" (uuid 53921015-a3b4-4c3f-a622-e7001299369a)) - (pin "8" (uuid 58c61e64-cd7b-4bc2-b434-345af5fa0251)) - (pin "9" (uuid 71a64824-eb1b-43cb-a189-603dc9e489fd)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" - (reference "U27") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "Memory_EEPROM:28C256") (at 31.75 54.61 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 7d174dd6-f549-4393-8ce8-9c2ce47c2a51) - (property "Reference" "U7" (at 33.9441 24.13 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "28C256" (at 33.9441 26.67 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-28_W15.24mm" (at 31.75 54.61 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" (at 31.75 54.61 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 3756749f-e251-4670-8c31-c49850083780)) - (pin "10" (uuid a8fba68b-84a0-495d-80db-56ce6de16f54)) - (pin "11" (uuid 6b2bf3d6-e94d-4c4c-97ef-e1286b23c70d)) - (pin "12" (uuid c035c455-6a0b-4b25-a082-787b0e6197ed)) - (pin "13" (uuid f1ecc221-df39-41c2-b347-1cce54dec738)) - (pin "14" (uuid c205559a-d925-41c1-a3a9-53bc63b51285)) - (pin "15" (uuid 2abe9b28-d6c2-45f0-91cb-a258dae2a00b)) - (pin "16" (uuid 2cf724c1-29d8-46ef-be6f-1c129e73b814)) - (pin "17" (uuid eb1dbc20-b195-4407-94af-513c791d8f28)) - (pin "18" (uuid da5bac40-22a0-423a-818a-72122ebe6260)) - (pin "19" (uuid 9c971a73-dcb0-4aa1-9193-fffd89e640fe)) - (pin "2" (uuid b388cf73-ff57-4de0-b53b-efdf0754acaf)) - (pin "20" (uuid b1a69673-6cd0-4333-9519-1d3770854e0b)) - (pin "21" (uuid aac1ff4a-4c6b-49a6-b1bd-383794940b58)) - (pin "22" (uuid ced83381-9839-43bc-9ef1-6b940a6d9fc7)) - (pin "23" (uuid 561289d3-b9bb-45d5-95e1-88189991e689)) - (pin "24" (uuid 7da73e34-4dba-4d9d-aebe-635e51c00c8b)) - (pin "25" (uuid 76b80935-41bc-4ee0-b1c3-f0827db0ed2d)) - (pin "26" (uuid 25cfb47d-80a6-4ab6-b534-d93fe654e810)) - (pin "27" (uuid fd5bc723-0669-4355-a293-6f36dcb3d13b)) - (pin "28" (uuid 1e6e4d86-c40f-4a0e-afa0-07e1e32aa2fd)) - (pin "3" (uuid 37a1fdd8-1d97-43c4-8aee-0d384ab796bf)) - (pin "4" (uuid 99f7063c-4ddf-4634-8dbf-86c0e33ed5ef)) - (pin "5" (uuid 7e50e749-0cec-469c-a109-55ed28ff585c)) - (pin "6" (uuid 5fb77cfb-0c56-4e25-92fa-fcb759af2d00)) - (pin "7" (uuid 467a4fdf-4489-4475-9633-d403383e407e)) - (pin "8" (uuid ba610ede-fcd8-48ad-aa92-ba22dad37f81)) - (pin "9" (uuid 09dd791f-3594-436f-b058-08b65bdb6ff1)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "U7") (unit 1) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" - (reference "U19") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "Device:Speaker") (at 198.12 118.11 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid ed02cc13-59db-458d-9861-351df41ad1be) - (property "Reference" "LS2" (at 203.2 118.11 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "10 Ohm Speaker" (at 203.2 120.65 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 198.12 123.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (at 197.866 119.38 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b8f42e76-38d3-422b-8e21-df5aed57f419)) - (pin "2" (uuid 5771204b-db9a-41cd-8e15-192a4ceff6f6)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" - (reference "LS2") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "Device:Speaker") (at 198.12 102.87 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid f0a55852-65f2-4318-8030-571671e0dde7) - (property "Reference" "LS1" (at 203.2 102.87 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "20 Ohm Speaker" (at 203.2 105.41 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 198.12 107.95 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (at 197.866 104.14 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 2c9ca056-9760-40b4-a3ce-19056d5ddca1)) - (pin "2" (uuid 5b76f7e9-9e94-47f9-9d34-6e875114526c)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" - (reference "LS1") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC590") (at 31.75 113.03 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid fbad72ce-d88e-4963-ae16-61737bbb1a3f) - (property "Reference" "U26" (at 33.9441 92.71 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "74HC590" (at 33.9441 95.25 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 31.75 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/74HC590.pdf" (at 31.75 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 38162b63-744a-418e-9763-5dff5d589e1a)) - (pin "10" (uuid 7d897a4c-4acb-4e0b-87d7-17763ce67069)) - (pin "11" (uuid d5a165a7-8ce6-4e1b-84c2-3a59c495c724)) - (pin "12" (uuid 81bd4993-51d3-410e-89df-668bf78ebc26)) - (pin "13" (uuid 38e2b8da-82c9-40f2-9e39-4a96b41ba498)) - (pin "14" (uuid 3c73332f-b1f0-4aa2-82ce-e3fe06b38659)) - (pin "15" (uuid 3f439387-484c-4f79-8e39-69857a19ff62)) - (pin "16" (uuid 5790060b-f6b4-4e7c-b71b-9be050fabeb3)) - (pin "2" (uuid 89f187dd-4aa7-426e-a126-1a6a4b13b7c5)) - (pin "3" (uuid 5bd08c64-e48d-44fc-8942-1682a4518ac5)) - (pin "4" (uuid f1c8d060-24fb-4160-842a-c8a381622ac8)) - (pin "5" (uuid 1a6dd860-3e05-45f1-b31c-06743a5488e4)) - (pin "6" (uuid 7a5011b1-81cd-486f-badb-0aff79a9e199)) - (pin "7" (uuid ca3b64e5-7a4a-4e56-ab1d-3b35a04fc50a)) - (pin "8" (uuid 466348dd-6ddd-45ca-a802-6d4c57153fb7)) - (pin "9" (uuid 5e4aabb7-fb4a-4be4-93d8-5798c8c5ae87)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" - (reference "U26") (unit 1) - ) - ) - ) - ) -) +(kicad_sch + (version 20231120) + (generator "eeschema") + (generator_version "8.0") + (uuid "ff7fdb17-b492-4d18-9a3f-a2fd01911dec") + (paper "A4") + (title_block + (title "ʕ·ᴥ·ʔ-audio") + ) + (lib_symbols + (symbol "Amplifier_Operational:LM324" + (pin_names + (offset 0.127) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "LM324" + (at 0 -5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at -1.27 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2902-n.pdf" + (at 1.27 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Low-Power, Quad-Operational Amplifiers, DIP-14/SOIC-14/SSOP-14" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "quad opamp" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "SOIC*3.9x8.7mm*P1.27mm* DIP*W7.62mm* TSSOP*4.4x5mm*P0.65mm* SSOP*5.3x6.2mm*P0.65mm* MSOP*3x3mm*P0.5mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "LM324_1_1" + (polyline + (pts + (xy -5.08 5.08) (xy 5.08 0) (xy -5.08 -5.08) (xy -5.08 5.08) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output line + (at 7.62 0 180) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 2.54) + (name "-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 2.54) + (name "+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "LM324_2_1" + (polyline + (pts + (xy -5.08 5.08) (xy 5.08 0) (xy -5.08 -5.08) (xy -5.08 5.08) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 2.54) + (name "+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 2.54) + (name "-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 0 180) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "LM324_3_1" + (polyline + (pts + (xy -5.08 5.08) (xy 5.08 0) (xy -5.08 -5.08) (xy -5.08 5.08) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 2.54) + (name "+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 0 180) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 2.54) + (name "-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "LM324_4_1" + (polyline + (pts + (xy -5.08 5.08) (xy 5.08 0) (xy -5.08 -5.08) (xy -5.08 5.08) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 2.54) + (name "+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 2.54) + (name "-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 0 180) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "LM324_5_1" + (pin power_in line + (at -2.54 -7.62 90) + (length 3.81) + (name "V-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -2.54 7.62 270) + (length 3.81) + (name "V+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:C" + (pin_numbers hide) + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "C" + (at 0.635 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "C" + (at 0.635 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0.9652 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "cap capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "C_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) (xy 2.032 -0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.032 0.762) (xy 2.032 0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "C_1_1" + (pin passive line + (at 0 3.81 270) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:R" + (pin_numbers hide) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "R" + (at 2.032 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "R" + (at 0 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at -1.778 0 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "R res resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "R_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "R_0_1" + (rectangle + (start -1.016 -2.54) + (end 1.016 2.54) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "R_1_1" + (pin passive line + (at 0 3.81 270) + (length 1.27) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 1.27) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:Speaker" + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "LS" + (at 1.27 5.715 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "Speaker" + (at 1.27 3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 0 -5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at -0.254 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Speaker" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "speaker sound" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "Speaker_0_0" + (rectangle + (start -2.54 1.27) + (end 1.016 -3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.016 1.27) (xy 3.556 3.81) (xy 3.556 -6.35) (xy 1.016 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "Speaker_1_1" + (pin input line + (at -5.08 0 0) + (length 2.54) + (name "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -5.08 -2.54 0) + (length 2.54) + (name "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "YM21511:YM2151" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at 0 19.05 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "YM2151" + (at 0 16.51 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at -2.54 1.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://bitsavers.org/components/yamaha/YM2151_199112.pdf" + (at -2.54 1.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "YM2151_0_1" + (rectangle + (start -6.35 15.24) + (end 6.35 -15.24) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "YM2151_1_1" + (pin input line + (at -8.89 13.97 0) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -8.89 -8.89 0) + (length 2.54) + (name "D0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -8.89 -11.43 0) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -8.89 -13.97 0) + (length 2.54) + (name "D1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 8.89 -13.97 180) + (length 2.54) + (name "D2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 8.89 -11.43 180) + (length 2.54) + (name "D3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 8.89 -8.89 180) + (length 2.54) + (name "D4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 8.89 -6.35 180) + (length 2.54) + (name "D5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 8.89 -3.81 180) + (length 2.54) + (name "D6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 8.89 -1.27 180) + (length 2.54) + (name "D7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 8.89 1.27 180) + (length 2.54) + (name "SH2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -8.89 11.43 0) + (length 2.54) + (name "~{IRQ}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 8.89 3.81 180) + (length 2.54) + (name "SH1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 8.89 6.35 180) + (length 2.54) + (name "SO" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 8.89 8.89 180) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 8.89 11.43 180) + (length 2.54) + (name "Φ1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 8.89 13.97 180) + (length 2.54) + (name "ΦM" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -8.89 8.89 0) + (length 2.54) + (name "~{IC}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -8.89 6.35 0) + (length 2.54) + (name "A0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -8.89 3.81 0) + (length 2.54) + (name "~{WR}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -8.89 1.27 0) + (length 2.54) + (name "~{RD}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -8.89 -1.27 0) + (length 2.54) + (name "~{CS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -8.89 -3.81 0) + (length 2.54) + (name "CT1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -8.89 -6.35 0) + (length 2.54) + (name "CT2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "YM21511:YM3012" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at 0 13.97 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "YM3012" + (at 0 11.43 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 13.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://bitsavers.org/components/yamaha/YM3012_199204.pdf" + (at 0 13.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "YM3012_0_1" + (rectangle + (start -7.62 10.16) + (end 7.62 -10.16) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "YM3012_1_1" + (pin input line + (at -10.16 8.89 0) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 10.16 -6.35 180) + (length 2.54) + (name "CH2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 10.16 -3.81 180) + (length 2.54) + (name "COM" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 -1.27 180) + (length 2.54) + (name "TOBUF" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 1.27 180) + (length 2.54) + (name "V/2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 10.16 3.81 180) + (length 2.54) + (name "BIAS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 6.35 180) + (length 2.54) + (name "BIAS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 10.16 8.89 180) + (length 2.54) + (name "AGND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 6.35 0) + (length 2.54) + (name "CLK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 3.81 0) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 1.27 0) + (length 2.54) + (name "DATA" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -1.27 0) + (length 2.54) + (name "SH2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -3.81 0) + (length 2.54) + (name "SH1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -6.35 0) + (length 2.54) + (name "~{ICL}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -8.89 0) + (length 2.54) + (name "AGND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 10.16 -8.89 180) + (length 2.54) + (name "CH1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:+5V" + (power) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+5V_1_1" + (pin power_in line + (at 0 0 90) + (length 0) hide + (name "+5V" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:GND" + (power) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_1_1" + (pin power_in line + (at 0 0 270) + (length 0) hide + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + ) + (junction + (at 163.83 133.35) + (diameter 0) + (color 0 0 0 0) + (uuid "5f9f189c-6a42-4308-b443-778ac3102f77") + ) + (junction + (at 166.37 114.3) + (diameter 0) + (color 0 0 0 0) + (uuid "95a11e6e-04bf-44c0-8c3b-a83e5b04f677") + ) + (junction + (at 214.63 91.44) + (diameter 0) + (color 0 0 0 0) + (uuid "9931893e-72e8-472f-9597-c94db62c7291") + ) + (junction + (at 214.63 76.2) + (diameter 0) + (color 0 0 0 0) + (uuid "dd80d209-c7dd-4f4c-b386-4adbdb1ce86a") + ) + (junction + (at 176.53 100.33) + (diameter 0) + (color 0 0 0 0) + (uuid "f94c164b-f135-41a6-8d84-bb904492482c") + ) + (no_connect + (at 53.34 91.44) + (uuid "0a9a12af-fcfd-494d-b5c9-c3deb6a13bc8") + ) + (no_connect + (at 53.34 93.98) + (uuid "295f4dd8-015a-4862-b312-d6a0bea7c6af") + ) + (no_connect + (at 53.34 76.2) + (uuid "b3104f5e-c3a0-40d0-9fa8-238120f1a4bd") + ) + (bus_entry + (at 40.64 99.06) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "062b0f0f-85cd-4727-99aa-eaa4e6493533") + ) + (bus_entry + (at 80.01 96.52) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "377959b5-4800-4c61-8fcc-3a771f712c4f") + ) + (bus_entry + (at 80.01 101.6) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "712832df-63d5-48ed-853b-b8db818cfef9") + ) + (bus_entry + (at 80.01 91.44) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "7848efc8-090e-4a8d-8023-8cb04e7fb409") + ) + (bus_entry + (at 80.01 93.98) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "a5ffcdb1-c64f-405d-8900-00610eec917d") + ) + (bus_entry + (at 38.1 78.74) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "bcd9970b-e46f-436f-a559-1a61ef706085") + ) + (bus_entry + (at 40.64 93.98) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "c065646f-42fd-4bc4-ba37-dec86d79589e") + ) + (bus_entry + (at 80.01 88.9) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "f03cc1ac-ec67-432b-b11b-73c15d9a9e38") + ) + (bus_entry + (at 80.01 99.06) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "f941119e-5456-493a-b4d1-8524c5bd0391") + ) + (wire + (pts + (xy 171.45 83.82) (xy 156.21 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "018d209d-92db-467a-94e1-3b2c94605565") + ) + (wire + (pts + (xy 71.12 96.52) (xy 80.01 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0610998e-fed2-4723-9804-1ab13ecc769a") + ) + (wire + (pts + (xy 173.99 85.09) (xy 173.99 81.28) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0d247af7-8dff-4d00-b34c-f1062151ee9d") + ) + (wire + (pts + (xy 163.83 91.44) (xy 156.21 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0f224f13-9d29-4a36-b7a6-0e4601314259") + ) + (wire + (pts + (xy 207.01 91.44) (xy 214.63 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1c554f43-b943-49ba-a0f2-a6faea678cd1") + ) + (wire + (pts + (xy 176.53 133.35) (xy 163.83 133.35) + ) + (stroke + (width 0) + (type default) + ) + (uuid "266252b8-db8d-4639-9f17-575d9aadbaa1") + ) + (wire + (pts + (xy 176.53 95.25) (xy 171.45 95.25) + ) + (stroke + (width 0) + (type default) + ) + (uuid "409f1c21-549f-40d2-81fb-3bc352534eec") + ) + (wire + (pts + (xy 71.12 88.9) (xy 80.01 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "445aa8d2-cfb7-4967-b2f9-5542d106f85a") + ) + (wire + (pts + (xy 43.18 101.6) (xy 53.34 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4879a6fc-c3c2-4552-83ce-e3cc57b1e7c9") + ) + (wire + (pts + (xy 173.99 81.28) (xy 156.21 81.28) + ) + (stroke + (width 0) + (type default) + ) + (uuid "49de3058-5fb9-4683-a527-f40de80a8a5f") + ) + (wire + (pts + (xy 156.21 78.74) (xy 176.53 78.74) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4c020cdf-7b9c-4d06-9a9d-33472de4b080") + ) + (wire + (pts + (xy 171.45 95.25) (xy 171.45 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4e758708-d540-4d6e-b288-d01e76bc3a34") + ) + (wire + (pts + (xy 176.53 123.19) (xy 191.77 123.19) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6383c209-8921-4746-a6e9-429b4730a685") + ) + (bus + (pts + (xy 82.55 101.6) (xy 82.55 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "68c8fdad-7995-472c-82f4-c31fe93dd674") + ) + (wire + (pts + (xy 207.01 80.01) (xy 207.01 76.2) + ) + (stroke + (width 0) + (type default) + ) + (uuid "697a7ab1-586d-463b-8655-3d97e104c51f") + ) + (wire + (pts + (xy 71.12 99.06) (xy 80.01 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6f5cab99-a661-46bb-a0f1-fb7ecfad844c") + ) + (bus + (pts + (xy 82.55 96.52) (xy 82.55 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "709c7810-2b21-4d8c-90f8-cad9fca0e12f") + ) + (wire + (pts + (xy 207.01 87.63) (xy 207.01 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8209f7a4-6fbf-43eb-a323-84920f3cb50d") + ) + (wire + (pts + (xy 166.37 88.9) (xy 166.37 114.3) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8c45fdda-dca8-4505-887b-10f5a19a6aee") + ) + (bus + (pts + (xy 82.55 93.98) (xy 82.55 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8ca6075e-cbcd-4cf1-af04-f65b9234706d") + ) + (wire + (pts + (xy 71.12 91.44) (xy 80.01 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "91d139a3-c870-4307-8e73-b1bdef708619") + ) + (bus + (pts + (xy 82.55 99.06) (xy 82.55 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "94716f02-30ec-4b31-94e1-797b14ad988a") + ) + (wire + (pts + (xy 191.77 78.74) (xy 191.77 85.09) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9598c04a-4c85-4304-aff9-d2c81fe02e2e") + ) + (wire + (pts + (xy 166.37 114.3) (xy 176.53 114.3) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9683133d-8b03-4684-9746-faa2c0a2e736") + ) + (wire + (pts + (xy 207.01 76.2) (xy 214.63 76.2) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9ba85507-c211-4a90-94e5-d324b9bd5f5d") + ) + (bus + (pts + (xy 40.64 106.68) (xy 82.55 106.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9d8d3d6a-7297-4ce8-a624-8fdde11090db") + ) + (wire + (pts + (xy 191.77 97.79) (xy 191.77 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a0094b86-68d7-4e0b-9d68-5d0aa6824566") + ) + (bus + (pts + (xy 34.29 78.74) (xy 38.1 78.74) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a2a2e6c4-4d33-4716-a72d-2e106093d312") + ) + (bus + (pts + (xy 82.55 91.44) (xy 82.55 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a36c704c-edc3-4107-a5ed-7471b3e8b272") + ) + (wire + (pts + (xy 191.77 142.24) (xy 176.53 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a981b7dd-6287-4811-8fcb-59a759653ef7") + ) + (wire + (pts + (xy 40.64 81.28) (xy 53.34 81.28) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b309a533-e927-49a8-9254-e3413aecbe58") + ) + (bus + (pts + (xy 40.64 93.98) (xy 40.64 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b97c6001-5d14-40a9-b76f-ebd0b7773fdc") + ) + (wire + (pts + (xy 191.77 135.89) (xy 191.77 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bc2ba6d2-3538-4495-a6e3-5c386b801a1b") + ) + (wire + (pts + (xy 191.77 104.14) (xy 176.53 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c061868d-9595-4160-adc5-ace4a6ce4008") + ) + (bus + (pts + (xy 40.64 99.06) (xy 40.64 106.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c24dfdf9-f8e3-483f-b793-6ba7213d6235") + ) + (wire + (pts + (xy 176.53 123.19) (xy 176.53 119.38) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c857ead1-923f-4b50-ad41-fe48b071a12d") + ) + (wire + (pts + (xy 43.18 96.52) (xy 53.34 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cb0be8ba-4a0d-42f1-97f9-79c6f686d8ce") + ) + (wire + (pts + (xy 156.21 88.9) (xy 166.37 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d1e2c909-6e3f-4566-8133-d5afeb952003") + ) + (wire + (pts + (xy 191.77 116.84) (xy 191.77 123.19) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d720503f-e7ad-4b24-ad72-c32901c57ff1") + ) + (wire + (pts + (xy 156.21 76.2) (xy 176.53 76.2) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d7d57b7f-1e21-4e9d-b2eb-6ee82710d6f5") + ) + (wire + (pts + (xy 163.83 133.35) (xy 163.83 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dcc4cf23-15b9-4d86-b135-3ffa3fcf9a0b") + ) + (wire + (pts + (xy 176.53 81.28) (xy 176.53 78.74) + ) + (stroke + (width 0) + (type default) + ) + (uuid "de71200a-2dde-4897-bea1-6e5c99e545ba") + ) + (wire + (pts + (xy 71.12 101.6) (xy 80.01 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e09f1074-4b07-48a7-bbd4-997bafef5249") + ) + (wire + (pts + (xy 176.53 142.24) (xy 176.53 138.43) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e382e6ed-bb41-43e1-a456-f8a9bd8da3d1") + ) + (wire + (pts + (xy 71.12 93.98) (xy 80.01 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e47369a8-ceea-4147-850c-c87e6fcb18ec") + ) + (wire + (pts + (xy 176.53 104.14) (xy 176.53 100.33) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e7d1efb6-f1f1-4584-8916-999441062536") + ) + (wire + (pts + (xy 168.91 86.36) (xy 156.21 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ea433761-f3fb-44cc-a59f-992329b390dc") + ) + (wire + (pts + (xy 168.91 100.33) (xy 168.91 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ef03cc3f-3680-4346-acc5-47717d215e44") + ) + (bus + (pts + (xy 82.55 104.14) (xy 82.55 106.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f01ad5c4-ff2b-4863-a35b-9f9a5ee0ffe7") + ) + (wire + (pts + (xy 191.77 85.09) (xy 173.99 85.09) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f23ab536-89c9-4385-86f6-db012b26e9c9") + ) + (image + (at 96.52 140.97) + (uuid "47353ff3-9c43-436a-b778-8a0b455c7f28") + (data "iVBORw0KGgoAAAANSUhEUgAAAv8AAAIWCAIAAABP0nU/AAAAA3NCSVQICAjb4U/gAAAgAElEQVR4" + "nOy9d3xUVf7/f26flslMJr0XSEJCCR2kScKKEoqAi7hr+bCWddX1awNWPu5+1FXXXXc/oCLg7oos" + "CipKUVDpHUJPCKQQCJBeJn36nVt+f7w/Ob9rElhWMAlwnn/wGGbuPfecc2/mvObdDqWqKiIQCAQC" + "gUC4baB7ugMEAoFAIBAI3QpRPwQCgUAgEG4viPohEAgEAoFwe0HUD4FAIBAIhNsLon4IBAKBQCDc" + "XhD1QyAQCAQC4faCqB8CgUAgEAi3F0T9EAgEAoFAuL0g6odAIBAIBMLtBVE/BAKBQCAQbi+I+iEQ" + "CAQCgXB70SvUj9pOT3fkxnOVQf1H41UUxe/3K4qCEBJF8Qb0jHCDkGXZ7/ffkk8vgUAg3KpQP9G3" + "NlYzFEVRFPVvD/6/3vy7I3shMFKa7lpHwtDwVHT49BrHq6oq6B6GYRBCiqJc6XKEHkFV1Zvx0SUQ" + "CITblp9kEYXVWpIkWZZv+d/EqqpeZZiiKEqSBEsjNnGBIefaLyFJksPhsNvtDodDVVVJkm5M1wk3" + "AlVVvV4vMcgRCATCTQR7pQ9glQVjAyzeFEXBys0wDF6DWZbt8KtXVdXa2trly5dXVFTIshwQEADn" + "SpIkiiLDMBzHBQUFPfTQQ3369MnJyfnXv/7l9XoFQaAoymQyjR49evLkyTRNr127tri42Gw28zw/" + "c+bMlJQU6Azg9/v9fv/u3bsHDRoUGRmJP1JVVRTFs2fPrlq1SpIklmUjIiJGjhx5xx13sCzLcRwc" + "piiKLMvHjx9fu3YttGa1WidOnDhs2LBly5Y1Nze7XC6KohiG8Xg8wcHBer1+4MCBQ4cOjYmJkWV5" + "37593377LSgb0D3Z2dlTpkzheR7al2UZIbRnz55PP/3U7/czDEPTtCiKLMuC2QZk0NChQx944AGb" + "zaYdGh6gLMuiKB44cGDv3r2lpaXQ/9DQ0HHjxo0fPz4oKAjacbvdq1evLiwsdLvdOp2OYRiTySQI" + "gt/v1+l0HMeFhYXNmDHDYrFQFIWNRsXFxfv379fpdH6/3+l0yrKs1+v1en1kZGRGRobNZoPDtBML" + "eL3e4uLifv36CYLQuduKorhcru3btx87dszr9bIsO3DgwLCwMI7jxowZ4/P5Dh8+XFBQYDKZjEaj" + "1+u1WCz9+/dPSkpiGAZkoizL1dXVBw4cOH36tM/nM5lMQ4cO7d+/f0JCgtPp7HKuZFmmKMrv94ui" + "ePTo0W+//ba1tTUgIACu3r9/f2g8LS1t/fr1Bw8e9Hg8AQEBOp0uODg4IyNjzJgx27ZtO378ONyd" + "trY2nU7HsizLsomJienp6WlpaTqdTlEU/Px4vV6apltaWvbu3Xvo0KHa2lpBEARBSExMHDlypF6v" + "9/v9w4cP37FjR05OjizLXq/X6/UmJiZOnTq1X79+CKHz58+vXr26tbWVZdn4+PipU6d+9tlnDQ0N" + "giB4PB6O4yIjI7OystLT01euXFlcXMxxnCzL8LzBeOHPimXZpKSkX/7yl0ajEf64rvQXTSAQCATM" + "FdUP/jnLcZwoiqIoGo1GhBBN0yAdYIXobPBXVdVisaSnp+/du7e2tlZRFLPZ/OKLLwYFBTU0NBQW" + "Fubk5DgcjhEjRiQnJ8fHxyuKkpubC7aQxMTE+++/PyAgwOv1hoWFffrpp06nMzs7OyQkRLvmgSzI" + "y8v73//932eeeWbq1KnaTymKio+Pj4+PX7t2bWtrq6Iou3fvXrVqVVhYmLaTdXV1S5cuPXr0qKqq" + "giDMmjWrb9++er0+ISHhu+++q6+v5zjOZrNNnjwZIbRt27b169cnJCT893//95AhQ/r16/f999/v" + "2bMHfF7333//qFGjOvQBxE1VVdV7773X2NjI87zJZHriiSfi4+M9Hk9JScmXX37Z0NAwYcIEvV4P" + "GlELTdP19fWvvPLKsWPH9Hr9I488kpmZWV5e/uWXX/7P//xPUlLSs88+O27cOFikExIStm7dev78" + "edCXiYmJAwYMkGX5zJkzFRUVoihardbs7GxtDzmOO3HixK5du1RVZRjGYrEMHDiwra2trKyMYZgX" + "X3xx/PjxwcHB2hmjaRok4+9///uFCxdmZ2d3fmx8Pt+zzz5bUlISHx8fExPjdDpXrlzZ1tYmSdLn" + "n38eFRV18eLFzZs3V1VVcRynqmpMTMxLL72UmpoKd6G1tfWbb75ZsWIFRVEDBw4cPHiw0+lcs2ZN" + "VVUVwzBpaWmLFy+2Wq0dLur3+ymKKiwsXLJkSV5entVqnTBhQkxMzPnz5//xj380NjZyHDdlypRF" + "ixbxPH/58uWKigpQWrGxscnJyYIg2Gy2c+fOFRYWchzn8/kiIyP79OlTVla2bt06nU43cuTIhQsX" + "xsXFae9OQUHBX//619zc3Ojo6DvvvLNfv37Nzc07d+7cuHGj1+uNjo5ev349z/OnTp26dOkSwzAg" + "FvV6PTwewcHBbrf76NGjer1+wIAB4eHhoaGh27ZtgwePZdnRo0fPnj1bVdXly5ezLGuxWBISEkpL" + "S8vLy6G1sWPHer3eixcv7tmzp0+fPpMnT+6sCwkEAoHQJcyrr77a5Qd79ux55513duzYsX379u3b" + "t2/dunXnzp07d+7csWPHtm3bDhw4UFpaGhYWBhYF7YlgMklPT7948eLZs2dpmh49evSiRYuSkpIG" + "Dhw4bty44cOHX758OSEhoV+/fjqdLjY29uTJk/X19bD+TZgwITIykqbp1tbWwsLCefPm/eY3v9Hr" + "9TRNY/8RLF2vvPLK+fPnZVkeO3aswWCAq8MirdPpYmJiNm/e3NLSwjBMW1tbampqv379sOVDluW9" + "e/du2LDB5/MhhOLj459++un09HSEUERExKlTp8rLyymKysrKevnllydOnBgYGLh///6qqqrCwsI7" + "77wTrE179uzxer3h4eFPPPFEQkICDBzaB7MZz/Opqam7du2qq6ujKGrWrFnPPfdcQkJCSkrKqFGj" + "+vXrd+HChfT09MTExM5xPOfPn3/99dcPHTpksVgWLlz4i1/8wmq1JiUlZWRk5Obmnj17tqioKCEh" + "ITIykuO4hISExsbGvLw8n88XHR392muvPfLII/fcc8/MmTMHDhwItpZRo0YhjS3HarUOGTLkm2++" + "8Xg8CKF58+a9+eabY8eOLSwsPHv27JEjR/R6fUZGBsMw2vvr8Xg+//zzo0ePiqI4adKkzpaGdevW" + "ff7552PGjPnrX/96zz33TJo0aeDAgUVFRXV1dTNmzIiKiho2bJhOp9u7d68kSRzHvfXWW5mZmXBD" + "PR7PZ599tmLFCrPZvGjRoscff3zw4MGjR4/Ozs5WFKWgoMDn8917770mk0l7RVBv+fn58+fPP3v2" + "bEJCwuLFi++7774hQ4ZMnDixX79+xcXFdrs9PDz8zjvvHDJkiMFgOHjwoNvtpml65syZDz/8MMdx" + "0dHRBoMhJyfH5XKZTKann3560aJF06ZNq6ysLCwsvHz5cklJydixY/GlL168+NZbb506dSolJWXp" + "0qV33313Wlra4MGD77rrrpaWluLi4vT09Ozs7Pj4eLfbnZub6/V6o6KinnvuueTkZLDiCIKQmZnZ" + "2NgYHh7+m9/8RhCEQYMGsSx79OhRj8djsVgWLVqUmppK0/SmTZuysrIWLVr04IMP+v3+o0ePKoqS" + "lpb297//PTs7Ozo6Oi8vb8SIEX369EEIkYAwAoFAuBauaPs5ceLEyZMnvV4veHYURcGhneBAsVgs" + "ycnJCQkJHU7EEb6hoaEIIXB+4XgXhFBaWtrTTz9tNBopiuI4rn///nPmzFm8eHFra2tNTc2OHTv6" + "9+/P83x+fv5dd901a9Ysnue1CzB0o7Cw8MyZM36/Pzc3t6GhISgoSBtZDFc3GAxgqaIo6ptvvsnO" + "zqZpGnSJKIrFxcVer1eSJJqmjUajzWYDP4LVajWbzbCKmM1mQRBUVR01apTFYnG73efPn8/Jybnv" + "vvvAVYcQCgwMtNlsPM9rQ39Y9v8mVhAEcEmoqmo2m2VZ5nleFEWapkeOHOlyubBuA/x+P8uyHo9n" + "3bp1R44cQQiNGjUqKyuLZVmYwOjo6Dlz5pw9e7asrGzDhg39+/cPDAxkGCY6OhocNzabDaxcqqpy" + "HDdu3Di3293Q0NDBUKeqakREhNlsbmxsRAgFBwdLkhQSEpKYmJiTk+P1es+ePet0OsG/htrDm5qb" + "mw8fPizL8uHDhysrK+Pj46FjYOuSZXnTpk3edqBjQ4YMeeaZZ1599VWe51mWlWU5JSWF53mv12sy" + "mZKSkhBCoLF27dr14YcfOhyOu+++e8yYMTRNwzSazeaHH37Y7/fv27ev84Oqqqrdbn/33XcvXbqk" + "0+lmzJiRkpICt49l2ZEjR77++utvv/22IAgsy0qSFBMTY7PZWltbaZqOiIgQBEGWZTCu6PX6trY2" + "nucjIiLAHjZv3rw9e/Y4HI5Tp04VFhaGhoaCAexf//rXsWPHKIqaMWNGbGws/uswGo2PPvpoc3Oz" + "2+0GoRkUFAQv9Hq92WzW6XTQMXhaBg4ceOHCBfhbgIM5jvP7/YIghIWFwdObnp4+d+5c+EPT6/Vg" + "M/N4PNBUVlZWXl4ex3HE8EMgEAjXzhUzlTweD3i4FEWBL3dYfeFNCLu5Uvhthzwv+LELESHNzc0s" + "y6alpSUmJoKKEgRh5syZ2dnZEFrx7bfffvTRR2vWrPF6vbNmzdLpdJ2da7Isb9u2ze12K4rS0NBw" + "/Phx3EOqHYZhWJaNiooKDg6GX8zFxcUQgkNRlMvlampqGj9+PLTPsiwsHrBqwjG4NVhvULuZweFw" + "QOAFHMNxHMdx2pAaPAPQps/nA2UAcUgURZWWlra1tQmCMH78+OTkZO0AoZFz584dO3bM5/PpdLoR" + "I0aYzWY8IoZhxo0bhxASRXH79u2nTp2SNSCEINgc4mDKy8tlWc7Kypo6dSr6YYoZ9BDfXEVRQGqA" + "aa3LUG5FUfbs2VNaWiqKYltb26pVq7QxTGC8qa2tpWk6Ly9v3759Pp+PpmmGYUaMGDF//nwsdHQ6" + "HVzCZDLp9XqYuoaGho8++qi1tVUQhL59++IpBcxm8/333z9p0iQsK7UD2bJly7FjxxiGsVqtycnJ" + "YCbEt2DAgAFPPfXU+PHjBUHgOE6SJHCzwn/h7tM0zXEciGO/3w+yWFVVq9UKfiiEUGtrK8xtZWXl" + "1q1bEUJms3nMmDEd7jjYAu+66y543+PxwH2BvxfcMfi7AOMZvAMj1Qb0wDvPP/98nz59GIZhGAbu" + "FzxUNE3D4zdv3rz09HQcgkYgEAiEf0sX6gerHKx41E4oisLz/FVCLOEY0AeXL18WRbG5uflf//rX" + "t99+ixDSnqsoil6vX7hwYd++fVVVbWpqWrp06RdffHHvvfdCpFFnamtr9+7dGxUVBWLi888/b2pq" + "6nAMxFY//vjjc+fOBXPLxo0bIRNNVdVjx45FRUVNnz5dr9fDmoG1C6x/EEoCEhAh5HQ6HQ4Hy7KC" + "INx1113YB4dXLBhs565SFOV0OlVVZVm2tbXV6/XW1dV99dVXjY2NFEUJgtBZ3vl8vrNnz5aWlqqq" + "qtfrU1JS4AC4KRRFhYWFmc1mSBzbvXs3WAjArEJRFGSHiaJ46tSp7777DvoPdoIOahUEGQz80qVL" + "LperoqKiuLgYDFRjxozR+pjgvn/55ZcwCSzL7tu37+LFi3iYCCGWZYOCgkRRbGhoeO2111588cVT" + "p07BvP3sZz/DIeH4FJ/P5/P5YO0/c+aM3W6XJMlkMiUkJHQOKYuOjn766aexLQrjcDiOHTsGkxAU" + "FBQeHg5DxoDvdfr06SBq3W63x+OBUeP7CHLf5/NBSFlNTQ1Yno4fP+7z+UC1wI2AMLKWlhZVVW02" + "GwhTHMwOtwmimCFuHao0URTl9Xo9Ho/6w+IODofD5XJh45n27wu/iImJwbYirH7gpwK0HBoaGhMT" + "0+XjRyAQCIQuuWKUQGfpgzSJP3DMVb5wVVUVRREOKCgoWLRo0cKFC1evXg2rFP6NixCCZSYgIODZ" + "Z5+12Wzwg1iv12tDbjHQn+3btw8cOHDx4sV9+/aVZbm4uHjZsmU4sRwfKUlSeHj4+PHj4+LiVFXd" + "uXPn8ePHYZ3buXNnZmYm/KbHS2CHsauqeuHChWPHjuXk5Lz33nsejycsLOzZZ58NDw+HHCLt/Fxl" + "isGIIsvyoUOHXn/99WeeeWbLli1Op9Pj8UDMslaUgBRobm4GDx3Ipg42G1VVYdH1+/15eXkIIY7j" + "AgICwBhQW1u7dOnS//7v/37xxRfr6urAqgF+us5x2dB/hNDWrVsXLlz47LPPHj9+XJKkadOm3Xvv" + "vR2Cfvbs2eN2ux977LHY2FiPx9PY2Lhz506QiQghSZJ0Ot2cOXPAl+dyubZu3Tpv3rzXX3/92LFj" + "YDJEGqsGQqilpQXGrqrq6dOnW1tbEUJWqzUoKKhz/UA4sfMj19jYaLfbvV4vOIx4nu8QIA8iFWf8" + "wRMI/QGrHnQM3oQ5P3/+/LFjxzZu3Pj+++9LkpSQkPDSSy/17dsXug22Rr/fHx0dDdYjbW/BWEjT" + "NEh8cL8ihCAlTdt/SZJ8Ph+ufYD/4jqMEQs1iqJwthd4WrFj1+/3w0Wv8hwSCAQCAdNF3A8sk9of" + "x6iTRMDL/1Wahl/S8LsW1i3syNAKIPgtqyjKiBEjhg4dCllI9fX1+/btw1YWOAC+5Zubm4uKin7+" + "85+np6dPmTIFjBZ79+6F2AhsYIDYZ57nIby6rKysoaFh48aNKSkpJ06cCA0NTU1NbWhogCOx2wi1" + "26XAlFJQUPDee+9Bns4DDzwwatSozMxMuAT0BxZRSZI6SDqMoihgd4GWLRaL1WqtqKgAnwVMstaE" + "BrPEcRw0CBoO/dCZCCEpMPl2ux10DL5HkiS1trbyPO/xeDweD/RNEITONwh7rODciIgIRVHKy8u9" + "Xm91dXVlZSVY4/ABW7ZsmTZt2q9+9Sufz1dWVubz+Q4dOjRlypSIiAhszJs2bZrdbl+zZk1jY6Oq" + "qg6HY/Pmzfn5+U888cSMGTPgujjvieM4HNTl9XqRRht18CRexcqInx/sKrrKiRRFQfgRjB20Dqgl" + "g8EAGtHlcm3btu3o0aNNTU2iKKampr7wwgt33HEH3BFVVZubm0EnofaKD1qzlvbqkCQPR2KZhQFX" + "pl6vx15XUD/gDoZOYtcY3AhZlsH/hV20+NFCV/01QiAQCAQtV4x6/rdcqbgI1jSwlqiqeuedd778" + "8ss+n2/16tUQ/aD9EY+P9/v9brcbXA9NTU0rV66MiooaPHgwPgxWuJKSktLSUo7jamtr9Xo9LPN1" + "dXUHDx6EtBdAVVWe53U6ncVimTRp0oEDBxobG/fv3x8VFQX5QdryP1rhAmsS9DwtLW3BggVQrkav" + "1xuNRnwKtmQgjTS8yvKjKMqkSZOeeeaZpqamJUuW4HDyLifWarUKgiCKoqqqXdZFhCUf4nZRuxSD" + "5TM8PPz555/PyMj4/vvvL1261CFmXAsE5YAOmD59+nPPPdfc3Lxy5cq1a9cePnx48eLFv//972Nj" + "Y+Hg8vLykpKSZ555xmg03nHHHevXr7fb7YWFhZDyjds0mUyPP/54WlrasmXLTp06BaMoKSn54IMP" + "0tPT+/Xr11kE4BHBoOAJoWkagrSuNJ8YnuehuJFer8cxTFexgng8HqgLQFFUdXU1RVGQfeZyucB8" + "CMFVra2tUOhBlmUIgoZJZlkWB8Vj29VV8Pl8cCI8jdrxIoR0Ol0HXx6WpJ3vmtrukv63c0IgEAiE" + "q3M1z9dVToMv6Ktv7wAaQhAE0A2hoaFz5swBfxYs6h08aOvWrWtqapo8eXJwcLCiKGfOnNm6dSuY" + "BFD7At/S0rJ79+6ysrJnn332v/7rv/7+97+LogjK6ejRo5A2j/sAESoURU2aNCk0NFSv1zc1Na1Y" + "saJv374pKSnYdtV5pYGlkabpQYMGDRo0KDQ0FDLItIHAeAkHu1EHk4Moirjn/zfRNO33+w0GQ2Rk" + "5OzZs0GUqKoKqyM+DOJqg4KCwFojimJdXV3n6a2qqgKtk5WVBV2FvjEMY7PZ4uLiLBbL7Nmz77zz" + "zivVINbeX8iZBy2VlZWl0+mgrk9ubi7Wpl9++WV1dfWTTz6ZnZ29YMGC1tZW8NBt3brV6XTiNiF/" + "auLEiZ988sk///nPtLQ0QRBomq6urj58+DAs7Z0Vg6qqGRkZcLPq6uoqKys7GFSugtlstlqtPM/D" + "Y9DBJKl9HnDoDEwdpJ2D/wshxPM8iGCdTrdgwQJIUqMoqrS0dNOmTdrHdeTIkTAtUMcIX6VL5ymc" + "CIYu7a8FeFqcTqdW3eInweVyQamqDn+GnUPRr/53SiAQCIQu6Shf4MsUAjahFg6IA7U9Xx1QFMXn" + "87lcri4bhSPhm1qSJPA1QBW+KVOmIITa2tq2bdvW0tIC8cWiKO7ZsycnJ2fhwoXvvPNOVlYWwzCQ" + "2FVYWAj6BoIkZFmura196KGH/vSnP7399tvvv//+gw8+aDKZVFXNz8/Py8tT2pFl2eFwwAtBEB56" + "6CFYhEJCQqZMmYLTgiDsBosVCFcCGwBN0yEhIbDOQfEeCDWFOFa8hGNPDcggVVVdLtfy5cu/+OIL" + "OBJHf4N+Yhhm6NChgwYNkmW5rKxs7dq1drsdTx34O/r27ZucnAwhSidOnIC8IbBD+P3+kpISh8NB" + "UVRsbOykSZMgmgSSgGDtB6OOyWQaO3Yszszv4t7TtMfjodoz11iWVRQlODgYgs3BRqIoiiiKZWVl" + "RUVFEyZM+NnPfjZo0KDMzMwRI0aAOjl58mRJSQmMvaWlZf/+/WClMxqNkyZN+sc//vGLX/zCYDBI" + "knTmzJkOjxm21oiimJ6ebrVaoUvV1dWQKIePF0URnsnOowgICEhKSgLtYrfbocK42p6zBmoSHjN4" + "fiDWCu6pyWQCow7IFLgEZFfdfffdmZmZCCFJkrZs2bJ9+3YwRMmyPHr0aMhRb2lpaWhogAcYeoja" + "rZjg+EMImc1mED3QJegM3E1JkqqqqrQ1BUCqwjPjcDiQxpkFf4CglRVFaWtrQ+0brHY5LQQCgUC4" + "Ch3VD6yFEPcDCgBUAv1DoAA0yKMOwLc8rJrw3e12u9X2lG+O41pbW7/66qvPPvsMTmdZNj8/H5bJ" + "kSNHCoLwzDPPgDOlsrJy8eLFlZWVsJIJgnDw4MGQkJDHH3983LhxgwcPHjRo0MMPPxweHi7Lcn19" + "/f79+yGHCLU75nC8y+TJk6OioiiKGjNmDK4Lh5OQkcaH5fP5YBECNYA6RXLAnLS2tkKvvF6v2+3G" + "YsjpdH799ddfffUVtjHgGJG2tjaGYaCHUNd4zZo1RUVFHfw7kKifmZlpMpkkSSooKCgrK0Ptcdyq" + "qn711VcwdRMmTIiLi4OrgFKB9iHWB8wnoEW6vE3YWyTLMtwjhJDH44FV3Gg0Go1GGFdBQQHU31uw" + "YMGbb775+uuvL1myJCgoCBTA6tWr4XJ1dXXLly/Pzc3Fhpbg4OCZM2dCrZqIiAh4E3v94AX4uWJj" + "Y0GV+ny+3Nxcp9PZodsNDQ1Hjx7t8pGbOnVqYmKiJElNTU179+6FLCrQNBCglp+ff/jwYZx+BYoH" + "e/3wkw8fqe2p+M8//3xqaipCqL6+/m9/+1t1dTUcn5ycPGfOHIZhmpubP/74Y5fLBWZOiKEWRfHM" + "mTPr16+HZpOSkiDN3ul01tTUYIcpTN2ZM2e0JaSx20vVZIFpu4cD1JqbmyEtH0LEOs8JgUAgEK5C" + "F64rHESitRlQPwRWiCtlpCOEKisrT506Bb9TCwsLCwsLXS6X0+ncvXv3okWLPvzww6ioKKj7cuTI" + "kb/97W9tbW2jR48GE1FcXNzgwYNh2T558uSf//zn5uZmhmEKCwv//ve/cxwHNhgwKRmNRmx9OXjw" + "4Llz52DNKC8vr6ys3L9/P7ixAgICHnroIYvFMnToUJ7nJUlyuVx5eXmw0re0tJSVlYGToqSk5MKF" + "C5IkSZJ08eJF2PALj4thGPhln5ubC/WCq6qqdu3adeHChZqaml27dr3xxhuLFy8WRRE0VnFxcXl5" + "OSihkydP5uXliaJYUVGxbt26559/fv369UFBQRAFDOAM8FmzZt1///1ms/n8+fOffPKJy+UCEXbg" + "wIFt27YJgjBmzJg5c+ZAipPX6y0oKABtVFdXd+nSJZg99YfJ/D+48TRdWloKDiyGYbZt29bc3Ox0" + "Ords2dLW1kZRVFpaGmxAAbtPhIeHg9cSmrVarVFRUQghWZZ37tx55MgRWZadTmdVVdVf/vIXmFjo" + "T0tLi9PpBE8crN/5+fnQiM/nKy0txXvJPfHEExMnTmRZ9tChQ2vXrtVWMTh+/Pif//xn8Pd1GIiq" + "qvHx8c8995zFYhFFccuWLStWrICyUjRNt7a2Llu2bMmSJW63G7xjDQ0NMJlgsIFG/H4/JI7B5Dc2" + "NkJK18svvxwYGChJUnV19dtvv93Y2AjKad68eRMmTGBZduvWrW+99VZ9fT1YrRwOx7p161asWNGn" + "Tx9QMP369Zs4cSKI/jVr1pw6dQoEWU1NzRtvvGG1WgcOHAh9cLvd2pmBLUfwMCVJ8ng8OTk58MvE" + "4/EsXLhw3bp1oHSv9GdIIBAIhC7pYqcLUBK5ubnnz5+HgjQ4dofneb1ebzAYjEZjWFjYxIkTY2Nj" + "OztWzp07N3/+/MrKSpqm9Xq92+3esWPHhg0bNmzY8P3339fW1iKE7r333vT09M2bN//5z3+uq6uD" + "INPRo0erqrpy5cotW7aA+4bjONg6IDAw8I033mhoaGhqaoqPj4+OjlYUpaWlZfHixYWFhQghyNLK" + "z8+/4447qqqq3n77bQjUNZvNycnJPM/HxcV5vd7MzMyQkBBRFJctW7Z582ZIFVZVNTc3l2VZk8m0" + "YMECu90O0qqpqYll2fT0dG11Io/H88EHH2zfvp1lWUg8Liws/O6778tMvdoAACAASURBVDZu3Lhj" + "x45Lly4pipKamvrggw8WFRW9+eabTU1NsAWmz+fbs2fPhg0bvvnmmwMHDtTW1gYGBs6dOxenUqP2" + "YCkoCQi7YZw9ezYvL2/v3r1nz55ds2bNhg0b9Hr9rFmz5s+fHx8fD9WJVqxYsWnTJtTuOKusrAwL" + "C4N6kl3GzyKEtm7d+tprr8G2mizLVlZW7ty58+uvv87PzzcajTNmzHjppZfi4uJkWX7yySdzc3Pt" + "dntCQkJMTAyYu/7whz/k5eWB2UZV1SNHjvTt2xchBFFZe/fuLSwsbGpq2rlz56pVq7xe7x//+Mdh" + "w4a1trauW7fu448/hmx/hNCxY8eio6OTkpIg4GbEiBGXL1+urKw8efLkF198sXnz5pycnJUrV+7Z" + "s2f8+PH33nuvVikCoKj69u2bmJhYWlra0NCQn5+/YcOGnTt3btiw4ZNPPikrK/vtb387YcIEjuO2" + "bdv23nvvORwO0MQtLS0REREREREHDx5csmQJFICmKKq2trZ///6RkZHBwcFer7eoqEin09XV1ZlM" + "JihEbjQahw0bBqL5zJkz33333datWzdt2vTRRx9VV1fPmTMHynPDr4gJEyacPn26pqamvr5+165d" + "69evX7du3fr16yMjI3/3u9+ZzWZVVaurq5999tmjR4/KsmwwGHieP3fuHM/zycnJoIFyc3N/97vf" + "FRcXQxwVz/N2uz0jI2PAgAFXCWwnEAgEQpdQV4qatNvt+fn5er0eyvEBYBaiKAr2Aw8NDe2cSq0o" + "isvlKi4uhkgUWE5w9WTwXBiNxsTEREEQ6urqysrKeJ53Op1paWlQqq6kpKSiogLWOdhONSgoKCws" + "7NKlS2BuSUhIgIgcr9d7+fLl8vJyKDZjNBoFQUhJSYGCgQzDmM3miIgIq9VKtadVQ0qXLMsXL14E" + "6wJ0z2g0BgcHWyyWCxcuuFwu8C9Agk9cXBweJoRZlJaW1tXVQXAS0qSMwbYYqqqmpKRYLJa2trai" + "oiJRFCG+BGkS3HCAbVxcXJfbFOAq23a7/fTp0wUFBQ6Hw2KxJCUlDR8+PDw8HGmSvcvKysrKysAt" + "AuEskZGRUVFRXSa6A+fOncvJyYGiiwEBARA3o9Pp+vbtGxISAjeCZVlRFJcuXQobgIwZMwYq3Hi9" + "3i+++AIsMfA8hISETJo0yWw2QwHo2trauro6KF0YExMDG2xRFOV2u7dt2wYVqKEbcCKIKhiOy+U6" + "ceLE7t276+vrRVG0WCzx8fHTpk1LTExEmhxv7fMGrTEMY7fbd+7cmZeXV1dXx7JsREREUlLSXXfd" + "BZuucBy3a9euoqIiyDrkOM5kMqWnp6ekpFy4cOH06dMulwtkrt/vv+OOO/r37y9JUnNz86FDh6qq" + "qoxG46hRo1JSUpT2fS3cbndhYeGRI0dqamoQQqCwp02bFhoaCj4pHDDX0tJy4MCB3NxcqJ9pNpsH" + "DBgwadIko9EIcVoej+fQoUOwxSns8svzfFJSEpSuRgg1NzcXFhbCtiQ8z8MvkMTERKhISbZ2JxAI" + "hP+IK6ofvD7hsBXUnupFaXZIgK947Ylqe16u1nemDWWg2vPDfT4frt+PfuigwbGosAbDcgUFACHB" + "SnsKXAuMELgP0AhoCLw2qD+sJgfNwok45AICY7Xt4LQghJAoimArUq+Q3w5vQrY2ngQQJR2Gj3ur" + "7WGH+cf9ARsVDqCGaYFGcIAL/gjmBxdt6hLoIc5WU9uzlnD3cDu0popxhxAouCjVHnONP4XNIqDP" + "er0e5CZqN01pZxhXhIKAG+3Y29raZFnW6/UQ1Q53vPNEQeQQfjzg38bGRrBTggVRezAUDOxQg4ei" + "KDgRhg85dBCvA3cQNAruPLyPH0LcptqeRQjH4ycEu9jwJfCjBe+Iogh2RDAX4buDa0OAWxZPONx6" + "6Hznv0ECgUAgXJ0rqh/UvhZ2PkD79d3l1+5VPrrSYR2u0kEtXakn+MjOl9Mu5J170kGKaTvT+fgO" + "h3XoyZVmQPv+1SfkSkKqy3Y6D0obGNvl0K7Scudb0HmetYd1/qhDHzqf1eVArjSfV79l6KoPVecR" + "dW7qR4y3yy5d6TG40rR3GGDnNzv/Nuj8EHa4FoFAIBB+NFdTPwQCgUAgEAi3HmRjIAKBQCAQCLcX" + "RP0QCAQCgUC4vSDqh0AgEAgEwu0FUT8EAoFAIBBuL4j6IRAIBAKBcHtB1A+BQCAQCITbC6J+CAQC" + "gUAg3F4Q9UMgEAgEAuH2gqgfAoFAIBAItxdE/RAIBAKBQLi9IOqHQCAQCATC7QVRPwQCgUAgEG4v" + "iPohEAgEAoFwe0HUD4FAIBAIhNsLtqc7QCAQOqIoiiRJDMMghCiKkmWZYRhVVWVZ5jgOH0ZRFLyQ" + "ZZmiKEmSWJaVJKm5uVmn0wmCwDAMNAL4/X6apuEYmqahBVEU4RiGYSiKUhQFmkIIsSwLBwiCcC3d" + "hvbxEKBZWZZVVWVZVlVVmqZhFDAcRVFUVe3QSQKBQOgGiPohEHodoFHq6urefvvtsrIyg8FgMpmG" + "DBkSGxs7ZswYk8kE6gEfD1KjsrLygw8+OHHihMfjsdlsmZmZv/71r1mWZRjm/PnzGzZsmDhx4vDh" + "wxFCDMM0NDRs3bo1KysrODj4iy++WL9+vc/n8/v9FoslIyNj9uzZcXFxPM+rqnqN0gf6U1RU9PXX" + "X4NsCggIyMzMjIyMXLFihdls/sUvfmEymUDVlZSUrFix4pFHHunfvz8WTAQCgdBtEPVDIPQ6wChy" + "8uTJo0ePOp1Om80WEBBQUVHR2to6bty4X//61/Hx8Uhj+1EU5fTp03/5y1/KysoSExODgoLq6urW" + "rl1bXl6+YMGC6OjovLy8NWvW7N+//3e/+93YsWMZhqmtrd24caPf77/vvvsKCgouXboUExNjtVoR" + "Qvv379+1a9czzzyTnZ3NcZzf7+d5/lq6LcvyZ599tnnzZovFYrVaBUEwGAwzZ85ECH3xxRd33323" + "IAhgW9q8eXN+fj6cJUmS1qBFIBAI3QBRPwRCb0RRFISQJEmxsbEvvviixWJxuVxHjhz5+uuvw8LC" + "HnvsMZPJhF1IPp9v06ZNZWVlDz744PTp00NDQ2tqar766qtNmzbt37//gQceaG5uRghVVlZ+/PHH" + "SUlJ0dHRHMdRFOVwODiO0+l0kZGRr7/+us1mQwjV1NS8++67H374YWxs7JAhQ7DGQgjJsqwoSmtr" + "q16vNxgM4GvDB3i9XofDYTAY/vCHP4SGhgqCEB4ezrLs8OHDd+zYcfz48WnTpvE8X19fv3//fovF" + "kpiYyLLkK4hAIPQAxOZMIPQ6KIpiGCYwMJBhmLCwsMzMzP79+48dO/aRRx5JS0s7ePCgx+NB7VE7" + "iqK0tbUdPXp0woQJDzzwQGRkpCAIMTExTz/99KuvvjphwgRJksA1pqpqQUHBd999p6oqBNxAbJBO" + "p0tISEhMTIyJiQkLCxs8ePCTTz5ZV1d38uRJhJA2KEdRFJfLtXLlyhMnTkAnsQOOoqjm5ubq6mpF" + "Ub7//vtNmzbZ7Xae51mWjY+Pt9lsGzZsoGlaluXz58/b7fZp06YFBgZSFKVVVwQCgdA9EPVDIPRG" + "VFXleZ5hGJ7nKYqCf0NCQu6880673Q7qx+/3UxTl9/t9Pp/D4Rg4cGBQUJAsy5cuXfruu+82b94M" + "TVEUFRkZSdP0o48+mpWVtWrVqqKiInA2cRynqirHcW632+12Q3gywzADBgwwGAw1NTWdO+ZwOC5c" + "uNDS0iKKIgQy4w43NzfLsux0Onft2rV+/fr58+fn5eUhhGJjY8eNG1dSUnLhwgWGYXJycgRByM7O" + "7qapJBAIhE4QszOB0OsAg4rL5UIIQZ4UIMtyY2Ojy+UqLy+vqKg4duwYKJXExETwlIFnav/+/atW" + "rXI6nQ6HIzMz89VXXxVF0WAwDB48ODo6+ty5c++8887MmTM9Hg80TtM0CCwISZZlGSEkSZJer4d3" + "IA7pwoULhYWFNTU1586dA6uP1WodOXKkTqeDbgcFBQ0aNCgjI2PChAn19fVfffXVm2++uXLlSpvN" + "NmTIkNWrV69du/bRRx8tKioaMmQIeNkIBAKhRyDqh0DodciyTNM05L273W6/36+qKkVRXq+3pqaG" + "ZVme5/ft27djxw5BEHw+X0pKil6vb21thdT3iRMnRkZGlpWVLVu2rLW11efzQZqY2WxOTk6eO3fu" + "O++8U1FR4XA4ILNdVdWAgACcoA45WQghi8UCmeqqqvr9/v37969bt66trc3pdJ48efLy5cvDhw8f" + "NGgQVj9RUVEvvPACx3EsyyqK4na7V6xYce7cuZEjR6ampsbExBw+fJjn+erq6sceewynxBMIBEL3" + "Q9QPgdAbkWXZZrPxPO/3+xVFAUdVS0vLuXPn0tLS+vbtm5iYOHPmTEEQjEYjx3FpaWl79uy5//77" + "jUZjbGxsbGzsgQMHvF4vwzA0TQcHB6uqCgFAM2fO3Ldv34EDB6DiDlT3sdvtfr+fZVlZlh0Ox6ef" + "fqrT6YYNGyZJEsT30DQ9a9asCRMmXLx48f33358wYcLs2bMDAwMNBgN0mKKos2fPrlu37uc//3lG" + "RoaiKC0tLV6vNz4+nqIog8EwefLkv/zlL5999llaWlpSUlKPzi6BQLjdIeqHQOh1QOFBl8sly/LF" + "ixc/+ugjjuMcDseBAwdcLteTTz5ptVr9fr/NZgOHl6qqWVlZ77///m9/+9v+/fvbbLaysrK9e/cq" + "iiKKoiiKCCGe5/V6PcMwRqNxwYIFFy9erK6uRgiB+jl9+vRLL70UFhbGMMy5c+cqKip+9atfDRw4" + "EHQPCCOz2WyxWEJCQnbu3BkeHg5ZXdh+o6qqx+M5ceLEkSNHsrOzvV7vrl27BgwYEBYWBsHOU6dO" + "XbVqVVNT08CBAwMCAki2F4FA6EGYV199taf7QCAQfgD4vCCyx+125+fngyIxm8333HNPVlYWx3E8" + "z9M0DYWSIa6Z47iSkpLTp08XFha6XK7U1NQxY8YMHTp04MCBVqvVbrdnZmYajUZVVY1Go9ls9ng8" + "mZmZsbGxlZWVtbW1Xq+3ubm5paUlKCjowQcffOCBByDUGrWrMSx0XC5XQkJCbGwsx3E46pmiKIvF" + "IghCfX19UVGR3W4fPHjwCy+8gON7TCYTQiggIGD69OlxcXEk2+tmQZvW17M9IRBuIJS2YiyBQOgN" + "gJfK6/UeP368qamJZdmAgAC9Xh8VFRUeHg6hyizLYuXh8/lg/4rS0tKKigqdThcREWGz2cxmM0Q0" + "I4SampoghR5O8Xq9bW1tVqsVjEx2ux3MSCBiLBYLTdOdixDKsgwx0dgd1qHPkiTV19e3trYKghAd" + "HQ1iS1VVURRpmpYkSZZlSINHP8ylJ/RaiPoh3JIQ9UMg9DogdQskDkIItt+CmsiyLLMs6/P5BEHA" + "q5Eoivg1xEcjhGiahtBpRVHgBegP7HKCHcTgtVaIaFvo0DEIfwZTUJefIoRgEzF8XW0wNQwB2tdm" + "yxN6M0T9EG5JiPq5ZYHf4vinPyw/ZL0hEAgEAoGshbcs4JuQJOnMmTMNDQ1I8xuOQCAQCITbGaJ+" + "bmUoimpqalq8ePGaNWugVh4RQAQCgUAgkKTTWxm/328ymbKyskJCQhBCJMvm9gRCiLADFN6EYs3X" + "HncMlYHgNShpiImG4J6foNcEAoHwE0Lifm5ZoJYdxJ+idulD1M/tBjwGsiy73W5Ij0cIGQwGCAvT" + "7tD+b9uBUxiGcbvdcCKkxJOHikAg3HQQ288tC6xJOL8GtsPkOI6sVbcViqIUFBR8/fXX58+f93q9" + "FotlyJAhM2bMCA4OhtSta2kEfiNB6cXjx4+XlZUpipKWljZs2LCoqKjOifEEAoHQyyG2n24C7yoA" + "wLTjtQe7FbCHovMLOAvyhOF0/FFn4GD4cQ+2H5zzjPujPbjDC62bo8NHqKsMWKgFjLuEbU6wQSZ+" + "R+s6wS3ga3X56bXN7r+nw3OOb8ENv1AvAZtqLly4sGTJkoMHD8KWXhRFGY3GsWPH/vrXv05OTr5G" + "4SLLss/n27lz56efflpcXAw7ZgQEBPTv3//xxx8fOXIkzCep30MgEG4WiO2n+/D5fDqdDmQQvKNd" + "72ExBsWAnVbYbIMPALmg1Ua4KXwMlKRjWRa2qJQkied5rL2u3kloBEs0HCyCtQuWcUijIcAPgnt4" + "pUAQKGODa8AgTUgKlIdBCGk//U/pLJu6lDgdpGeXJ97swDy3tLSsWbPm4MGDPp8vMjJyzJgxkiQd" + "OHBg165dHMfNnz8/PDz8WlqTZTk3N3fp0qXl5eU8z8fFxQUFBV2+fPnIkSMcx8XGxkZFRUFJhZ96" + "XAQCgXBDIOqn+xAEQRRFn8/XeYHvsPyDevB6vXq9voPFCDbxhqpxHSrUIU1cM0gouBZN016vFzaw" + "7NwrrViBBnF4B1ZpWI1pj8diCBeyg/9qq9tBB+BNqJKHP4WW4TAwHTEMA/LoR4coYRHTWV9iYJ5B" + "coGk09qBbhn1A0+Uz+fbu3evJEn9+vX75z//GRIS4vf7161b98c//vHMmTMXL14MCwu7liFLkpSf" + "n19VVcUwzIIFC2bPns3zfEVFxZw5c4qLiwsKCqKiokjsM4FAuIkg6qebUFW1rKxs2bJlJSUlWARg" + "a010dLTP5wNlo6qqTqejKMrhcJhMJogt5Xme4zhRFEESwc4GsNkTrN9Q7VcQBJ7nwfYTHh7OMExb" + "W5soigEBAU1NTSaTyWg0IoQoiuI4TlEUl8ulqqper0cIwU7gIKrgQhAnC/sSwK5SUCxYFEUYAtTt" + "lWW5tbVVVVWoPuxyuQRBwFYoUFGwz6Xf7+d5XusigSXT4/FozVQmkwnEENVecbiDawyUFpaJWPmB" + "RwauC9OCD8DiUpZlOAyahQthGQQGM57nEUI+n89isaSkpNy8cS0wLkVRZs+ebbFYKIpiGGb27NnL" + "ly9vampqbm6+xnbgtiqKYjQaZ86cCTciPDx8zpw5H330UVlZmSiKMGkEAoFwU0DUTzdBUdShQ4e+" + "/fZbn8+Ho3bgI5qmT58+jY0riqKAFNDG62ATBZylXfVxO9oIIWzYwDoAe6/gMOxfQxpVgTezhMpA" + "cF2wVOFLa68Ix4OY6GBC0HqXtCdqh4ONLjg+CfcNlA0+EbQabhN70LRbbFLt20HgC+Hxwlg6uwvx" + "AJFGS7EsKwgCTdOiKEZERLz33nuxsbE34hHoVmCkHMfZbLb6+vry8nKqfXOJwsJCCH8GbX0trbEs" + "Gx4ezrKsKIpnzpwZPHgwzLMoiqqqut1uSZJApv+0oyIQCIQbBFE/3QfsAYna3VJaxQCLB2xMgRAC" + "hxF8Csu2NmsdIQR7RnbpHsIrPT4Au4H8fj82Bvj9fqyEsCbw+XzaNrUKDGkifrQ+jg4dQ51Cbbpc" + "X2G8uG8gNbR6CIs8GAs2lVHtUdJqOzCfWOqh9pAXrdDBfQBF1SECWnsY1p2QJc4wTGNj482ofhBC" + "siybTKaMjIwzZ85s2bLFaDQOHz68sbHxk08+8Xq9/fr1S01NVa8cOK9FVdX4+PigoKCKioo33nhj" + "3rx5GRkZOTk5mzZt0uv1MTExYELrhkERCATCDYGon26CoqiAgABBEFwulyiKiYmJd955p6qqVqvV" + "bDbD7pUul0un00E9Fb/fD44J2IXb4/GA4mltbW1paRFF0WAwmEymhoYGlmV1Op3X65VlWafT0TTt" + "dDp9Pl9AQIDP51MUxePxQCOiKDY3N4PKwYEvYC+h2nPEULvKMZvNHo8HMuTxRyAO/H4/jtHGgTta" + "FaUdtTa2BsKJDAaDIAg+n8/n87ndbrAZQDtwmKIoHMfBggqXAG8UOAS9Xq/f7wcNxHEcCBoQZOCq" + "A98Wao9J0pqFYA7BRAH9wVHe2AoFm6tDmy0tLRcvXhw8eHD3PzDXCZjTWJYdN27c7t277Xb7ihUr" + "PvroI5g3s9l87733hoSEXKPth2GY9PT0IUOG2O32c+fO/f73v+d5HrTysGHDhg0bdu11gwgEAqE3" + "QNRPN6GqqtlsxjabRx555MEHH+zBgBJtghh2ujmdTrodg8Gg3Ujc7XbLsgzRP3CK1tAiiiI0q3Wo" + "AeA4AxXlcrkYhtHpdBDD5HK5fD4fRDWB3QUhBIoEVlODwQAxTJ1dKtCHDouu3++HF7g/2EEGsgZU" + "GoQlQaAV1EDyeDw4M662tnbNmjVbt24FwVRXV/fT3IGfFvxojRs37re//e26desKCgogWD4pKWna" + "tGnjx4/Hyu/ftgZqeN68eW63++jRox6Px+Vy8Tw/ZsyY5557Li4u7iceDYFAINxgiPrpPkJCQsAy" + "wTAMhCT3bH9g2QPNAa8tFov2Uyx9UHt1YAAsQNqmDAaDts3Ozi/wJWETFDQO7YNkgW5gtE63Lpfn" + "Lh1/uBH8ArsXQT91iDfC/8K+DfAiMjLywIEDOGwIC6mbFEEQpk+fPmjQoLfffvvw4cM/+9nPHn/8" + "8b59+2rv+7VAUVT//v3/+Mc/btq0afny5V6vd8qUKfPnzw8ODv4pu08gEAg/CSRJtZtQVdVms1Ht" + "6ehhYWE9myFMaUDteeBIUyUPtYccaU/R/ku1J2ShTqqiQ+PgRcKKBHQGPh6cXB1OwaYg6grVg7p8" + "X9sCgEUSVjOo3W5EtYc9gaMNDoOewL9ghbrZI3nB+hUfHw9FmceNG9evXz8wtqFORSCvBLgXJUmy" + "WCz33HNPYGAgRVEzZ84MDAzssowCgUAg9HKI7aeboCiqsbGR53mwfEAhn15SIkWrGLSaprN1qkOH" + "tQdf44XQD+0Nnd/p/N8fwdVbwOOCF9hJhM8CdxtFUTqdLiAg4Do707NoE/1Qe7ST1qp3LcBEgeXS" + "ZDIlJCQ4nU6o8XPzlgMgEAi3M71i9b0doCjK4XC4XC6KonCdnp7uFKELFEXxer0QwCQIwjVWQ+7N" + "MAzDsqzD4eB53mAwXI9eASsaLv50AztJIBAI3Qn5/uo+cGK23+/3eDw93R1CF0BGm9vtxgFDgiD0" + "dKduAJAJCJUOrtHb1SXw9IqiyLJsh5pMBAKBcBNB1E83oaqqXq8HD8KVInkJvQFIB0PtSghe37zg" + "yO7ExEQc3XWdrUECIDgHb0wvCQQCoXshcT/dBw686JysROg90DRts9kg8Bky5Hu6R9cLxP3o9Xoc" + "AH6drXUoEU4gEAg3HcT20wNo6xQTehUQig4ba0DK201a76dLbqBeIfZLAoFwU0PUT/cBQRLaBBxC" + "L0RRFKfTiQsOtba29nSPrhdsbgTDz/XIbiiJBJ4vXBmBQCAQbjqI+ukmoEggvGZZFrZVJ/Q2QJVC" + "rA8uR9TTnboxQB2j60w2hHMlSYKaSUTHEwiEmxSifroJtX3XcRL308tRVRW2Loddxm6NnC+w+giC" + "oNfrr8f2g3dBgU3ZSNI7gUC4SSFfXt0EGBJgtfD7/bCPZk93itAR7JrUbjLf0526LvBjBtuidd6l" + "5Ee0BgWESMY7gUC4eSHqp5uAjTZhqeB5Hmo993SnCF0DIb2wt/zNnvGOdxTxeDx40/vraRAqIcHm" + "tcT2QyAQblLIl1c3ASGisCkS/AQnK0cvBNK58d5VDMNYrdae7dJ1gt2skMt2/dYajuMCAwNvUO8I" + "BAKhZyD1froPKBCHEIJquT3dHULXaEsCMgyj3ff+ZgTGQtO0Tqe7/m3LwHtLYvYJBMLNDjE/dB8U" + "RUGsKM/zsKl4T/eI0BFIjDIajbDMw1ZfPd2p6wLbfsDwc0OqHUIpSEmS8H4gBAKBcHNB1E83AWsG" + "LDxkl4DeD456vmVuE6XhOtthGAYy5yGi6Eb1kEAgELoNon66D5qmoeSPNrKE0Ju5xWoT3KixwDbv" + "8JoE7xMIhJsRon66CVgkWJZVFEUURbvdfistq7cMsNMFGOpgR/RbIzhdURSv1+vxeDwez/UobyiB" + "zXEc3iseNu7tKaA/UHdR+9/OHwH4I6hVDe/AkVC/ETeLi31rW4AT/X4/PBvX0kOYeWgfTscvtNfC" + "1SPhAGgfXxde4z7j4wF8iqoBj8jn82mbIhAIAIl67iZomnY6nS6Xi4ie3gzkfEG1Q7Bw3Er3C2o9" + "X4+eg8A1v98Pzi/UXiHpxvXxP0NVVb/f73a7vV4vx3FGo5FhGKjQDV45UDCSJGEnJs6AA32Aq1dD" + "azBAiGeCfzH4BwxCSJbla5xGiPMD8QGySRAELLzwMdAN7fax0D4OWofOgLLR7lgC/3bwQoJqlySp" + "ra3N4/EYDAabzdazOpVA6G0Q9dN9MAzDMIwsy1AsjuwS0AuBMj/wY52iKFEUPR5PT3fqhsFxHCy9" + "P/rBA8VQV1fn8/mgYmePP8NVVVWrV69uaGjQ6XRGoxEisk0mkyAIUOJIUZTW1lb4owOTHqhASZL8" + "fj/8JbrdboZhPB6PTqfjed7r9ZpMJrfbjRACvQgPA+S7mc3mjIyMjIyMa+yhJEksy164cGHLli0O" + "h8NsNuv1eo7jwDaDEyCcTqckSRzHQWEw6KTf74fDZFmGnkBncAUNeFDhuwVfURRFWZYdDkdjY2Nz" + "c3NWVtajjz76U0w+gXDzQtRPNyHLckhICHzNCYIQGhqqKAr5NdbbALOB2WzG5Z4NBkNPd+q6AKOF" + "qqrY7ng9ThCwMRgMBigF2eNuQVVVDx8+vHHjRlEUsf1DlmWO47AdBSxVfr8flzsC2wnkrMF+Jqjd" + "6en3+8Hghx1JoJOw7QdsOWFhYa+99tqwYcNArPzbzeBKS0sXLVpUWFgI3iscNQUTCHfE5/OBOIOB" + "gGaC4/H7IOZg5sHtBX2GbsBhNE3DTyxQURRFJScn/6R3gUC4GSHqp/vAu5zCly9RP70QWC2wwwsH" + "qt/UgBfPYrGA/eM6dzmlKAqqAPS49AHOnz/vdruxjoG/LOxawvICrHo42gZkED5SFEW8aSuoIjiA" + "ZVkImgG9Ah9JklRYWPjuu+/+4x//AGvu1dVPfX39Cy+8cPbsXQTKnwAAIABJREFUWaxIJEnC2gvu" + "CLz2er3YpUVRFFikwCgFXxowCjgd/oslERwGJ4ISgoMDAgLCwsJ+4ptAINx83PTf7DcLOOagxz0F" + "hH/LLbYfLQxBlmVBEMCSgW0P/ym4EEAviaKladput0N/OI5TVTU4ONhgMIBEA5UAagOHBGnDZSCE" + "maIop9MpCAKUIYXWZFnW6XR4s1scuQzH6HS60tLSXbt2ZWdnX30yvV7vmjVrSktL4VkKCgoCeQ2q" + "muM4l8uFEII9VXQ6nc/ng6rcUBAV5BEEDGG7Eewx4vP5wALH87wsyx6PB/u/RFF0uVw+nw90VS/R" + "qQRCr4Kon26Coij4MkXtWR7E8NMLwUIBpA+kzPR0p64LHJqjNW9cT4Owwxf2BHW4Fmr3tWlDjPFH" + "1+Ik+o+gKCowMBAEiiiKI0aMePrpp6E8NwT0QHAMqB+WZfEfHegJXHsCAoMURfF4PGA1EUVRr9dj" + "FeV0Ov1+v8fjcbvde/fu3bdvX3Nz82effRYTEzNgwACQMtimi9WhoiglJSX79u3zeDwcx40bN27y" + "5Mksy1osFo7jGIbR6XRtbW0IIbDcQLARy7Icx0F2nl6vFwTB5/NhJafT6UD9uFwuELIGgwF6CAFA" + "4OUsLCz8+uuv6+vr/X6/w+G4gXNOINwaEPXTTWAnPfxcQ73GcUDogDa6AicN3QLg4VyP7AYn2lUe" + "XWxT6eAx1CaN31hzmtVqhXHxPD9s2LDRo0f/pOY6VVXHjh1rMBi+++67/Pz8N95446mnnpo0aRLO" + "M8fZ7DRNFxcXv/vuuxcvXqQoasCAAQsXLoyPj++GeCm3252QkLBr166GhgaEEEiiW8CKSSDcQIj6" + "6SYYhqmoqIDARgikJRbpXgisYdhIgPO6b3bAKnBDSldjF0yXV0HtxWl8Ph/DMNjSA0UE9Hr9jXWZ" + "QQI5avcpd8PNUlU1Ojr6T3/6U3Nz8/Hjx4uKil544YXs7OynnnrKarXCeEVRrKioWLp06alTp1pa" + "WiRJiomJefvtt5OSktD1RZ1fI+AEhChvHNZNIBC0EPXTTUDoAM496SVhE4TOaGvK3RpRzwCYHK4z" + "kgmf22UjsMrW1NRs2LBh8uTJKSkpIPE9Hg94f6ZOnYotT10moGmL1lxLP3FpH1jjGxsbf2oLB/i2" + "WJZ97bXXXnnllRMnTrhcrk2bNu3YsSMyMtJoNLrd7sbGxra2NlEUweM2ZMiQV155pU+fPgghSZK6" + "x+WNlSjP8xA03Q0XJRBuIm6Rb/beD/ahUBQFbnjyfdQ7YVkWgkW6DG256cCjwNu1Xqf6Udu3eQfB" + "oZVT4F4pKSn55JNPnE7nc889ZzAYVFUtKipavnx5XFxcdnY2lNgBBxzUDIQcJWgZIoo6O86uBMMw" + "EJgFRjuTydQNLh4ogxkXF/fyyy9v3Lhx9+7dNTU1ra2tbW1t2glRVbVfv36ZmZnZ2dlg9aEo6saG" + "PV0J/AyDz+tW2q6OQLhREPXTA/h8vuuPwCD8RGCXAbHPdQlYPkRRhJQi7bIKr1NSUmiazsvLq62t" + "7dOnjyRJFy5cqKiomDNnjsfjOXz48JkzZywWS2pq6sCBAyGGt6CgYN++fZIkxcfHp6enJyUlXaOI" + "UVW1ra0NF7b5CYfdCZqm09LSYmJifv7zn2/duvXQoUOlpaVer9doNFosluTk5PHjx48YMSIoKAgk" + "IJhhurOHCCFJkiCXrZuvSyD0foj66T7wt7PFYgF/fM/2h9AleBODW0P94Bo2drsdtRtvfrRQwOmK" + "2EgDL7THREdHZ2Zm7t+/v6SkpE+fPi6X6/DhwyEhIWPHjm1ra3vttde8Xq9er0cIxcfHP/fccxkZ" + "Ga+//npZWVlAQIDX69XpdPPmzZs7d65Op/u3/YFayTg/vDtvGQgavV6fnJycnJz81FNPQcizNtpJ" + "7Wobiu4ESnsbjcYrHXDtKvM/KgBBgqwJvR+ifroJSrPdD2r3R5Co514ITdMtLS2QowdxWj3do+sC" + "+6pcLhcszNezMkFSt8vlMplMeOMF/Cl+PXfu3L179+bn548fP76pqens2bMjR44MCQlpbGy02WyT" + "J0+eOnWq3W7/+OOP161bl5KSEhAQMGvWrMcff1wUxS+++GLz5s2DBg0aPHjwtXQpKCgIHGfw325b" + "d3HVR6BLu05nw1j3gO2XsD9GQEBA52mBcgCiKF6+fBkh1LdvX4qicDFMSFujKKq5ufnChQtVVVUe" + "jycsLCw5OTkiIoJhmLa2trKyssjIyKCgIBDB5eXlFEVFRkZeunSpqqqKZVlBEAIDA6OioqACEzg0" + "iSoi9BKI+ukmINyHaq8gTAw/vRzYZAAhdC0WiN4PTdNGo1Gn011nEDfYAKAiH95wqvN6FhcXl56e" + "fvDgwblz5x48eNDn891xxx1Qa9Fms/Xr1y8mJiYuLq65ufn999+32+0BAQFRUVHBwcGyLP/yl788" + "efJkVVXVoEGDrsXYEB4eDvUAEUJQS/B6Bnhr0CF4/ErKjKbpsrKy1157jaKoV199NTExUfspfGWt" + "XLly27Ztbrdbp9NJkjRs2LD/9//+X2Rk5MmTJ997771Ro0a99NJLcPCSJUv0ev38+fM//PDDgwcP" + "GgwGg8FgMpkyMjIeeuihyMjIW8OYSrhlIOqnm8DBzrdMBeFbG/Bi0DQdGBjY0325AUCxTY/HA+no" + "P7odKGYDm2pdxXJpMBhGjRq1fPny999/Pz8/Pzo6un///mBOczgcULsPygq43W673S6KotlshtQt" + "nU4XEhKCCxlfvT9QkRmkj6qqt8bNun6o9n3KUHuMdpdfOKIobtq0KT8/n6bp7du3P/XUU9pPVVU9" + "cuTI+vXr77jjjjlz5uj1+pycnDVr1nz66acvvfRSeXl5UVFRVVXVgAEDpkyZghAqLS21Wq2iKNrt" + "9pCQkD/84Q8cx+Xn53/yySdNTU2///3veZ7vnqBvAuFaIOqnm4BiJ7D24Nzjnu4UoSOQz4z3yMQB" + "JbcAULcazDY/uhFcTRghhBO1Oj/JOp0uPT09KCho8+bNgiCMHj06OjoaNApMLPShpqaG5/mQkBCX" + "ywWbmauq2traCk6Wa1kpwZsMPycgYIskEyCEFEVxu91erxeSv9AVHIKlpaUHDx4EeVRYWNjY2Bga" + "Gup2u8FWJMvyzp07bTbbo48+mpqaSlFUSkpKamoq3m1eVdXGxsZly5YNHTo0LCwMSmODyWfUqFEj" + "RoxQFCUjI8Pr9X7yySfFxcVDhgzpgbkgEK4AUT/dh16v1+v1Xq+3qalJFMUeyQEhXCOQzu33+2/2" + "uB+8sxXs86UNVflxeL1en88HG2qiKxf+iYyMjIiIqK6uNhgMkydPhsPA9nPs2DGdTldeXv7VV19l" + "ZmaGhISoqrp9+/bAwECaprdt2xYYGJiamnotnZFl2WAw4DJaVqv1Okd3y+DxeKDgkMFgiI6O7nyb" + "FEXJzc2trq5+4IEHFEU5evRoTU2NzWbDIWIGg+HcuXMZGRmJiYmyLB8/fryyslJV1ZiYGPgtp9fr" + "p0+fnpOTs2zZshdffNHlcuEobxCgcNG777579erV5eXlw4cPJz/5CL0Hon66D9ipB7UXwyVfBL0Z" + "7Di4BW4T+EEcDodOp4Nkq+uBZVmWZbH6udKTHB0dfddddzU0NKSkpAwYMMDv90OmmNls3rdv36lT" + "pxiGSUtLmz59usFgCAoKysvLe/fdd202W9++fWfMmBEUFHSNQ0MI4SqOAQEBt8D9un5w5STYAAR1" + "dZscDseBAwdcLtepU6c4jqusrDx16lSfPn127969d+9es9k8a9Ys2DsM4hQPHDjw/ffft7W1xcTE" + "rF+/nqIom8322GOPJSYmrlq1KiAgwOFwJCQk4L1QwMinKArsbwjZZ+R7j9B7IOqnm6AoCm8zCSZi" + "Yp/vhUApXu1emF6vt2e7dEOgabqmpga1V9380c+eqqo8z8OGFSDiu4wigl017rvvvnHjxplMJrPZ" + "DO+HhIQsWbIEip6zLGs2m61WK03Tr7zySmtrK03TgiBYrdb/SMTAbqCwzEOg+q2HdpKhXCSIDNig" + "HtSJVlhAjXL83w7eW/gWqq2tzcvLoygKtiHz+Xzffvvt9OnTjUYj+CWtVqter7906VJdXV1ERMQj" + "jzwyfvz4999//8yZM/AsGQwGnU43efLkAwcOrFmzBm6EKIoej8fpdOJtDQ8ePEhRVGBgIMlyJfQq" + "iPrpPjqU8Ce/gXohUIAYMoRvjfh0MABIkuRyuXQ63XVWr8b2MJ1Op/2J3+V1jUajNo0IIcQwTHx8" + "fOeDIyIiIiIiflx/oKixqqoul6u5uflmv19dAqIcRqrX66GitM/ng4hvCJnqnEwOh4miWF1drdVG" + "UP/p5MmTNE0vWLBg+vTpqqp+/PHH27Zta2lpyczMHDduHFx05syZS5cuXbdu3cMPP2wwGNra2urq" + "6sAj7HA4eJ6XJCkiImLOnDlnzpxpaWmBnoiiWFxc7PV6aZouKSlZs2aNTqdLTEwkwY6EXgVRPwTC" + "/w+IHnBQXj2t6eYCwjigyPgNadBkMvWS7eokSYJlXpKkW9WnDM9kfX39xYsXhw4dqtfrIfS4qqrq" + "woULjY2NkZGRqampFosFHw8oiiKKotb2A4YfiqLOnTs3evToadOmBQYGyrI8Y8aM8+fPl5aWxsXF" + "cRwHhRyzs7MPHTr02WefffPNN2azuampyWAwpKSkhIeHh4aGxsfHC4JAUdSoUaPuuuuubdu2Wa1W" + "MOzl5OQ88MADOp0O/K2vvPJKWFgYIlUQCb0Jon66D7V978xbOO0Tf+1qf+fBt3B3/vLTRu1AKTZt" + "D0HWgNECPsUqBwfngtEeEvRgcUXt+57CWZ2rt8Hm5+CDwN4lML3gPCnc/lWmokMiFT5R+6LLINbO" + "b2rrvgQGBjY0NMBheE46NK4Fm77gFLyawjDhRTeoH5xfBoEsHWxykGQEFjtIoddOAu65LMttbW06" + "ne4qVY97Mz6fr6Cg4PPPPz958uQHH3zQv39/hFBdXd1bb711+vRpp9Nps9mysrIeffTR0NBQmB9B" + "EHCpKp1Op3WKQVbj3LlzKYqCkHNBEPr06fPSSy/ZbDY4Er6j/j/2vjssiqt9e2Z7X5a+dKQpIIIN" + "LGhsUWyJNcZEUzQmMVWTX/KaqibGNOMV3yT6xsSYaCzR2HuJXeyICIqAighSFli29/n+uK893wTQ" + "JCogZO8/vHB3ZvbMmTPn3Od57ud5/Pz8Zs+evW/fvuLiYh6PFxMTExUVJZVKxWJxt27dIiIiZDIZ" + "TdNeXl5TpkxJSkpKSkry9fWdMGFCamqqwWBgGEatVnfp0iU+Ph6/7qE+Hjw48LCfFgDSsLZ0K5oE" + "DZXCRBpis9nuPeDo7wMSEHAX6CQIKQGtAQNAuBC7AihZ4ymKQlgvrAvFxcU5OTmXL18uLCz08vJ6" + "/PHHk5OT2RSK/C4pBIFP2O4hEn7MzmRTj3zAUYWfxiKEb9mx4qAgsL4QNkCIEeEloCl8Ph81ufBf" + "HEOeCy6Ib8ktk8ago0Dy0DObN2/Ozs42m827du0aP348NK3kRu7rM/z/KCws3L59e0JCQnx8PHIN" + "I94ech+0TSQShYeHOxwOsrtADDw0T//9739TU1NHjRrVGvV2ixYt2rlzZ11dHfyJFEVxudxff/31" + "0KFDPXv27N2794ULFzZt2pScnDxkyBCMBCjcCdtmXw1PvEOHDuwP+Xx+vU+A0NDQJ598EpGPAoGA" + "bBUCAgJgzgGioqJQydXlcg0cOBDeMTwU9u7CAw8eHHjYT/OBbFgh+Wzp5jQJMjMzT58+/dhjjwUE" + "BOBmrVbrH3/8Ybfbhw8f3mzNYBgGy/O+fftsNlunTp2GDRsWGRlJUdSVK1eWL19+5swZPp8/cODA" + "SZMm1QuThp0Af1RVVa1evdrf3//YsWMFBQVQQIMQ1NXVffjhh0FBQSTZDOUmJZC0Y8lhm46IHYWi" + "KBJIT1gLLot1gtiN6urqkCOOTXooN2GyWCxsxgOSRygIuxoXh8MxGAw2m81isaBhOJI0m3IXgUdC" + "IDTAarXiInCdaLXaTz/9dPv27RRFORyOhQsXent7Dxs2jHRdU7AfhmHq6urefPPNa9euOZ1OmUyW" + "mprar18/lM7g8XgItAahDAsLY5/I4XD0ev3SpUv37dt38+bN4ODgeobA1gIul2s2m81ms1Qq5fP5" + "TqfTZDLt3r2by+WOHz++a9euY8eOPXXqFKpVoFYae4axWq332ACUrfg7fitkD8I2g+w67vHXPfCg" + "KdA21+AHE2yLPdmyt3Sj7jPOnDmzfPlyDoczbdo0pERDJHPPnj1HjBjR8K6JHYL6s9WBvrc6aHa7" + "fc2aNd9//z3iVtatW5eZmfnRRx95eXnNmTOnqKgoNDTUYDCsXr3abDbPmDGD7YtEVkDy33Xr1hHn" + "C/mQx+MdO3ZsypQpiYmJfD6f8AadTme32729vYVCoVarJRmewD+4XK7BYKAoCoU5EYpMtMNOpxMp" + "oJBiEb4evV4vk8lkMhk20AzD2Gw2RPTAN4dLORwOq9WK9QmKEKlU6nK5jEYjIg3NZjOCzH/++eeY" + "mBhEMqNtAoFAIpGIxWKTyURRlEQisdvtaK1AIEDSPJvNZjQaL168eOjQIZLrWa/Xz5s3z2AwBAcH" + "Y61Fw7A8gzARnTUWRYqiiAxFIBBYrVY+nw/DEiK2bDabSCQymUww4WB4FBQUlJeXQ1Gr1Wr37du3" + "b98+qVQaFRWVkpJy5coVPBpkISIh/RhCtbW1eXl5xPJ3d8OpxTFz5sxu3bp98cUXN27cqK2tVavV" + "Fy5cgMr43XffhZZ84sSJCoXC4XCgn2GwxB/l5eU3btwA7cNAgsEMTJf4N4nDF/XgkI8bYxv6IdhN" + "ibMYf+MXoYBmGIYQd3zL4XDA3QmlJq9525v9PGhd8LCfZgLDMGRNheelTb78AwcOXLlyZWZmZkZG" + "Rnh4uMvlOnfuXHV1dd++fc1m88aNG6urq/l8vlgs7t69e7t27Xg83vbt20tKSoRCocvlSkxM7Ny5" + "M5/Pv8cNusViycrKCgkJee2110JDQ/fs2fPzzz//8ccfXbp0uXr16sSJE0eMGGGxWH788cecnByT" + "yUTkIFgmSRZK4gwiCyfsOuAHJpOpqKhIJBJBY2E0Gs1mM5/PN5lMOEWv12PeBykhDiniTaNpmtAX" + "tozGZrPhb7AKcCOs8aTAFkVRUDGjABNWdzjL4DvDv4RxGgwGLpe7fv16sApchBAdbNMxMtEDXC4X" + "1wdZQXA1WeFwWFVV1eeff851A142UlKDctuTcBcCgYDH4yEiGu1EikLQLKysFotFIpHo9XqHw0Hc" + "kTqdTqvVkgeEfjAYDOfPnz937hxhzw1tDLAGzZ8/Pz8//6OPPpJKpa3R8ENRFI/Hi4uL8/HxKSkp" + "wSOrrKy02+0ulysyMjIkJCQzM3P+/PkSiaRv374YVwaDAaZKq9W6c+fOS5cugeJzuVyhUIjAMSiU" + "MRgwxoRCodlsJmwGzJi4d2l3/CDEzjabzel0Wq1WsVgslUphViSeUHi+wJNkMhlFUZGRkcOHD5fL" + "5eBVLdqjHnjgYT/NCCxgNE1j497SzWkSxMTEdO/e/fTp09evXw8NDbVYLGfOnAkJCYmOjtbr9T/8" + "8IPL5fLz89NqtXv27Hnttde6dev2+++/FxUVBQQEuFyu3bt3jx07dvTo0fDj3HUzOBxOcnLyQw89" + "1K1bN5FI1KFDB2x2g4ODX3jhhYEDBwYHB1utVuT1ryfBATnDBG232728vOD6gREFjAEq0VmzZoWH" + "h4NG8Hg8i8VC9r4gEyaTCRtfUBMs9mQYECcXUReRZpCFHMsSSZJJURRuhHi+rFarXC5Hy8EqpFKp" + "0+k0m82IgQJdu3nz5pdffsnn85966imkpMNFUHuOw+GIRCLYnHQ6nc1mk0qlAoGgqqrKYrHodDqH" + "w6HX68+ePQv3ExEPURSlVqt79erF5/MrKytlMplEIhEIBEj3ggUYqmRYEfR6fUVFhdVqhTlKoVAg" + "dyJFURaLRavVent747mgSkN1dTVsQkKhkJ14ifjswOTQMyTtDelDdLifnx9UwFieWyMBAunE397e" + "3jCoMAzj7e393nvvqdXqQ4cOzZ49++jRo71798aTBTXBiK2qqqqtrSXOU1BVXJOovqg/VwfDAfgh" + "/IGhSJgQ6BEAyksC7gjhhm0ST8Fut/v4+Pj5+T300EOe0HcPHgR42E/zAcsnsQC3SfMPTdMZGRnH" + "jh3LyspKT0+/ceNGbm7u8OHDvb29q6qqhELhiy++OGzYMKPR+PHHH69YsSIqKqq2tnb48OGvv/46" + "j8f75ZdftmzZ0rVr1+jo6HshiDKZ7LnnnoMl49KlSz/++KNer+/evbtarZ48ebLD4TAYDL///vvG" + "jRuHDRtWL0oIOffgjvHy8lq6dGnHjh2LioquXbu2adOmS5cumUwmhmG6d+/evXt3xBmBvhBZMaFT" + "zJ8jqsh/2T4+xq2/pv9clxtLCFmqiR8QW3CyXJH1Bp4yiiWRhhQGBpu0tLQNGzaIxeKhQ4cGBweT" + "PLxoKiETlDtvMrv9RJCUm5s7bdq0yspKfOtwOMLDwxcsWNChQweiiSaCJ+LNZKuaGJbcG4siaT/6" + "hKzQWIkhPNq+fftbb71FSlZRbhebVCqNiIhwOp3Z2dkMK7sxcb7ADEYMGygKdteDqgUBf6hQKORw" + "OLBTwqUrl8uDg4MlEklqaiqkXZTb0kYcTzwer0ePHi+99FJISAjFSjRvtVoFAgEYYWhoqF6vNxgM" + "SOoD0gyrJByOUqkU1p26ujqMf4lEAsMPaCWxDKGd5eXlMCx5eXk5HI7i4uKNGzcWFRXBfQbzVdub" + "/TxoXfCwn2YC7Q4zZodPt3Sj7j94PF5SUlJQUNCxY8fGjBmzdetWPp/fo0cPBN/y+XyZTMbj8cRi" + "cXp6+pdffllaWsowjFqthrFkxIgRW7duLSgoiIyMvBf2g4W2oKBg7969q1atEolETz75ZExMDEVR" + "er0e4qSSkpK+ffs+/vjjsJ0AJNIKe1+xWBwYGCiVShHNO3z4cL1eX1ZWptVqYU8i7ITo2dmmBTK/" + "N5zo2V/Vi7dv9BRyTD3TBaFB9dIosGkT/oa3AkIcIgFpeHyjF8evJyUlPfvssz/99FNZWRmHw4mO" + "jn7jjTegtKVY1Z0aPjjQtXqfNwwAJLdMrGISiYRhmKioKD8/P41GIxAIvLy81Gp1u3btEhMTe/To" + "ERkZeebMmWeeeQaWDLPZTHLesJtks9lQWL7hPbYKwPSI6iI3b9709fWNjo5Wq9XFxcXfffdd165d" + "d+/ezTBMTEwM484uAT8jrJL9+vXr1q3bnY1eSqWy6doPSdzVq1dLSkpAQ5vutzzw4G/Cw36aCYwb" + "Ld2QJodCoejbt+/KlSu/+uqr8+fPq9XqyMhIOC+8vb2hxgUBQr5gb29vEp3E4XDkcvm91ytwOBxF" + "RUWffvppYWFhampqRkZG3759ITrZtm3bypUrfXx8Zs6c2atXL5VK1ZBzYL+LNbu2tpakJ+ZyuXK5" + "PCoqii2+ecBB7iggIKC6uvoe2zx58uSQkJCPP/5YKpW+//773bt3b2ofLsMw4eHhkyZNunnzpr+/" + "f/v27ePj42HGID4amIWIVbUh8Dl8cE3a2iYCBl5oaGhtba2Pjw9FUf7+/tOmTfvuu+9Wr179+++/" + "u1yunj17ZmRkELsgXJBOp1MkEkVERLSsq53P5wcEBPD5fKlU2iZt3h60RnjYT/OB7RFoq7DZbAqF" + "okuXLtu2bdu7dy+Px3vkkUdCQkKI8hchSxaLpaKiQiAQ+Pj4QAUJtmEymQQCAYpIIwDq7pqBmK/s" + "7Oznnnvu8ccf9/LywpxbVVW1atUqb2/vDz/8MCIigsfjNRoCDZ0v2Copt8n27LQW6kO5xxufz9do" + "NGyRx92Bz+d37ty5Xbt2Pj4+HTt2bAZfEk3TCoViypQpZrNZKBQimppyl2uAvBfxBCaTyWAwQOXT" + "8Doqlar1Km1pmpZKpS+99JLFYlGr1RCl9e7dOywsLCsrS6fTRUZGpqSk+Pj4cN0Fv9BXCAPk8/kt" + "PlzhhQR1g0uuxZvkwb8crXU6aI1QKpUwPyC2pU2+/JhtY2Njw8PDKyoqZDLZkCFD4OOgaVqj0eze" + "vdvpdJaWlv7yyy+9e/dG+vwDBw4EBwdzOJw1a9YEBgZ26NDhHvMi1tXVHTx40OFwbN68+fDhwxKJ" + "RC6X9+3bVygUFhYWymSyWbNmKRQKuVw+fPhwfI4T4ZckGk8oLfAVcaO0rlTdxC1VXV0tkUgMBoNK" + "pSJJgyDirqf7JiMTSm3KnakSAh2TyaTX6xHDCNpKURTMDE3h0UB7hEIheUaEreJHIXiy2WxeXl5K" + "pbJR04KPj8+nn37q5+fXSqMN4MkKDw9nfyiXyxMSEhISEhoeD9UXiU5/EIJMdTodniPerzY5+3nQ" + "uuBhP80ESAJh4VCpVDKZrE0agWw2G4/HU6vV/fr1M5vNycnJcXFx+IrH43l7e585cyY7O1soFPbr" + "12/y5MkikSgyMvLcuXPfffedWCyOiYmZPHmyQCCw2Wz3spTy+Xyk5Efclk6ns1qtN2/ejI6O7tSp" + "E4w6JpPJZrMZDIZ6jjYSOo4cJ61XLAIQPbLT6VQqlXA9gNNotVrk0PP29vb29iYZ6si5iGn/3//+" + "V1VVBXE3h8MpKCgoLCzkcrlz584NCQlBvH2PHj169erVIjeI6Houl1tbW1tdXU1sdWzweDzkuvyX" + "gGEYg8EAnRDC61qc/SDdAMnn6YEHLQ4P+2k+kABUkUh079KWBxOkwsOYMWP69+/PdjeoVKo5c+bU" + "1NQwDOPj4xMQECAWixmGmTFjxs2bNxFgFRISAtN9vbTF/xTe3t6zZ8/WarVEyykUClHDKDU1lXKH" + "UDEM4+Xl1dCWQyK6IR29y754kEDSD8LZV11dvWXLluyvkLK0AAAgAElEQVTs7NraWqfTGRAQMHjw" + "4EGDBiFEmZxF0zRKTOAwEkwE4r5r1y4UmkBgXc+ePZv/vmBOwE0JBAIkm2k4bOqJ0/8NqKurMxqN" + "1J8zjbUUkJ2B5Hqg2mjEqwetC21hZm8VYBimurqa5Mxw3bHOZesFSX6jVCrrRZFwuVxEXdF/Lmvl" + "5+fn5+eHv+/XzCgQCEhWG3I19Lm/vz/7hxqei+hxRF+z8xy2XuBeLBaL2WxGAp6ffvpp/fr1CB1S" + "qVQXLlxYtGiRTCbr27cv8i/T7uS/oaGh7EgueFJgD8MBqKtAHGR6vb66utrpdPr6+ioUClBMo9EI" + "1xXpTBKFXlxcbDabQ0NDFQoFotZJpiW46lCBHMcjMzWkP0R9BdU86N3titiTlATN1+ktCjg00RUC" + "gUAmkzW0uDAMA0utyWQymUxyuRy7Mq67ZCyeKd4g6MpJOgMOhwOZOU5HdCS6t1HtOXz9eK1a0VNg" + "KzXx95293uR4UhoPaR1I3lF0TiuV3rc9eNhP8wE7MJqmUa2wTXq+iMrkDt/e4YC/8+1dN6bRSOx6" + "nzDueggcDsdut9vtdnaSvVYKwgKx+T516tT69eu7d+/+zjvvhIWF2Wy2GzduzJkzZ8eOHb1797bZ" + "bCdOnCgpKYmPj4+JiYmIiJg8efLChQspilIoFEKh8NatWxwORyKRoK6CRqPx8/N78803rVbrwYMH" + "165dq9frzWYzTdODBg16/vnnd+zY8emnnz700ENvv/22n58fIUDnz5///PPPi4uLbTZb586dp02b" + "lpKSAoZ68ODBpUuXXrt2TaFQ0DTdv3//F1544f3338/KyhowYMCMGTPKyso++uijrl27Tp8+3Wq1" + "wqyF1Hy364RWtOjeO7ADwd8Mw+j1+oZ1Y5AloaCgYObMmcjATtN0dHT0yJEjMzIytFrtV199NXbs" + "2Pj4eKziJ0+ePHny5JNPPunj44ONwfnz5xcuXJifny8UCuPj4z/44AN/f/9GHVsk3LWuro4MgFZB" + "AhiGycnJmT9//oQJEx599NE7H4x7t9lsly9fDg0NVSqVZCOh0+lMJhO7Dp0HLQ4P+2k+kKB3zNH/" + "qum41YFonJGkv20AMqYdO3a4XK5Ro0YheggaqVmzZqF25q+//vr7779LpVK9Xj958uRJkyY9/fTT" + "a9eujYmJee+99+Ry+YIFC44fPz5z5szevXuvX7/++++/f+WVVxISErZs2bJ169Znn302NTXVbrcf" + "Pny4trbWbrcnJiYKBIJOnTpBcgSfb25u7ttvv+3l5fXBBx/4+fkdOXLkyy+/nD59elpa2sqVK9es" + "WZOQkPD000/z+fz8/PyysjKr1RoTE7N7927IjI4dO+bv7z906FChUAhBEklh7HmtAKIspmlaJpPd" + "rlsqKysrKio4HE6HDh24XG5RUdFXX30VEhIilUoPHz6ckpISFxfH5XJ1Ot17771XU1MjEommTp3K" + "5XK1Wu3SpUuLi4s7derE5XJLSko++OCDhQsX3tk6AhMRSWvZKoA6IRcuXBg2bNido+dgpLx169bU" + "qVMzMjJeffVVmUzG5/MtFsvixYvPnj27YcMGdlSBBy0LD/tpPkDyzDAMEvx73oEHEMRHhqy17D10" + "6wVxScA9VFRUJJPJQkNDcWsgQLGxsTRNIz/vE088kZaWtmTJktOnT48cObKqqspqtaampoaEhNA0" + "XVJSkpycnJ6erlKpKioqwsPDk5OTTSbTzz//3L9//+7duyMJZEZGBtwoJpNJJpOhGhraw+Fwfvnl" + "l4qKiieeeGLQoEE8Hi8kJOTYsWOLFi26evXqzz//nJSU9M477wQGBtI0nZ6eXlVVpVAoIJ+/dOnS" + "//73v9ra2hdeeCEqKsrpdEokEoRSshNJ/8vBuOu4URRF0zQ7nyf7GKzWDocjMTHxs88+E4lEa9eu" + "Xbx4cU1NDdJuiUQicMrDhw+Xl5fTNH3kyJFx48b5+/trNJqqqqqOHTvOmTNHJBKdPn161apVNTU1" + "t8uaiPYg5otq6b0fdqF/SUTwbWBgIKbueleodxjl9hKq1erU1NScnJyKigqVSkVRVGlp6cWLF+Pi" + "4tqGJ73NwDNZNB+I1oddG6GlG+XBn8BmP6AFLd2i+wDCflCAXalUlpaWkppNkAzjSB6PN27cOJFI" + "tGzZsjNnziQlJdE0feDAAYfDkZCQwOFwSkpKiouLu3fvLpfLXS7X4cOHu3TpEhQUVFFRUVtb265d" + "O5Ism3KrbVCME5J/xJTV1dWdP38eJZ+wAkkkksDAwIMHD5aVlen1+qCgIFAfiqL4fD6Wn9DQUA6H" + "c+LEiQsXLqxcubJdu3aInYZAHi9X661l0aRodJmnWbm5CwoK5syZI5PJLl686HQ6FQoFHL7Qqdjt" + "9r179/r5+aWlpR07diw3N9fPzw81Xs6dO7ds2bJHH320R48e4eHhwcHBjc5pxN7D4/Ea+uCaH6jy" + "AYXZHSZhjCVYzkgpFXwFAQP15xzZuC+BQDBhwoS33norJycnLi7O4XBcv3791q1bEydObF1GrzYP" + "z5NoPiCSiMhpPdP0gwmyL2QYRigUNmkFgGaGWCyWSCTx8fGQLaPCKFbBEydOzJs3TyAQIPnk0aNH" + "7Xa7Vqu9cuXK5s2bTSbTtm3bVq9ePW/evJqammPHjm3dunXp0qU3b94sLCy8ePHiuXPn9Hq9SqWq" + "xxeJ3qhe2BGKqUHWQz6x2WxVVVW3k8ShlCZFUTab7fjx40T1fObMGWhLHQ4Hykg1Vfe1HtDu2moU" + "S3PTKKATLysr27lz57p163JycvBQ7HY7amswDHPjxo3s7Gxvb++UlBS5XH758mWj0RgREfHEE08I" + "hcLVq1c//vjjkydPvn79OrvKbD1AmwWTUovv+gQCAVGF/x1wOJx63kOn07l58+bNmzc3Gr0LwdzR" + "o0ehuLpx44ZKperatSvV0kYvD9jw2H6aCSSSiHKXIfTgAQSWCrKIto3EBCRoBfv12NhYm822du1a" + "Ho8XFBSEePVvv/1Wo9FYrdYrV654eXktW7bs22+/XbFixaxZs8rKymw22/r16zds2ICeOXfu3Jkz" + "Z1C95MKFC88++yyXyxUIBHv37u3QoQMJ9SJZA7hcrtFohCPMarWKxeKEhITMzMxLly716tWLYZia" + "mprr16/7+fn17Nnz+PHjGo1Go9H4+vqy76K8vNxgMAQEBMjl8hUrVtjt9gkTJigUCl9fX9gnxGLx" + "A2JauO8gCRrqxTCyN1H1llWSmIBiVcNteGUc07dv3/Hjx4tEogsXLvz44487d+7s378/OKvT6dy4" + "caNOp6uurv7yyy9NJtPmzZvHjRvHMEy3bt2WLVt24cKFrKysy5cvv//++//73/86duzY6K+AcKOu" + "bYubvaurq9etW2ez2fr27RsVFQVtH/PnivcAsZt6e3uzA0VtNhto98iRI8ViMZKTkROlUulDDz20" + "fPnygoKC6Ojos2fPxsXFKRQKPBQPQX9A4FmGmwk0TSP5GNK2Up5NwIMKVIDHHG21Wuvq6mByaL2A" + "+wmpme12e2pqardu3fbu3ZuXlxceHi4Wi3Nzc2tra6dNm2Y2m1etWmUwGBISEi5fviwQCBQKRVlZ" + "GRZaEvNMVi+QIZfLpVarhw4dmpmZ+d///rdfv35KpfLWrVuBgYHx8fF5eXlarfbo0aNisVgsFldU" + "VPTp02fatGl5eXkbNmzQ6XRqtXrr1q1Op3PatGnjxo1bsWLF+vXrv/vuu4cfflilUmm1WrFYHBcX" + "xzCMQCDo06fPhAkTPvvss19++aWqqmrixIkqlQpGIFQXb5OvFZywMHGxbZMUS4DCvnG4Ggl3bzRx" + "KPs63bt3Hzx4sM1mUyqVP/30U11dndVqhZqqrKwsKysrIiIiMTFRIpFcvXo1Kytr06ZNHTt23L59" + "+3PPPTdmzJiRI0ceP3581qxZly9fTkxMbPS3YBYymUyIAG/ZdM9HjhxZsmSJw+HYvXt3x44dR44c" + "2aVLF5CSel5CRGyBFcG7evPmzR07dlRWVmZnZ5tMpnnz5vn6+k6cOJHt9RMIBF27dt2wYcPy5csn" + "TpxYUFAwZcoUlDhse9S89cLDfpobjDvTrgcPIGhWGn6k/mvtGzWSg4TL5eJ2FArFrFmzli5dun//" + "/mvXrjkcjpSUlBdffLF3794ikWjw4MHffPNNbm6uUqmcMWNGQkLCvHnzLly4QGQiJHGwUCgUiUQ0" + "TSuVymefffbRRx/t0qXL1q1bly1b5uPjM3To0NDQUKfTmZOTIxAIKisrt2/fHhwc3KlTJ39/fz8/" + "v7lz527YsOGnn34SCAT+/v7/93//17VrV6FQ+MQTT9A0jWSMCoVi/PjxqE9+6NAhgUAQEBAQHR09" + "ZcqUWbNmbd68+cqVKz4+PvBiQFjd4naFpgC0TfgXyln8AX7TkEnQNI3ewI7rdh2C61AUtW7dury8" + "PJ1OV1payufze/bsidTMgYGBRUVF5eXlc+bMSU5O5nK5FRUVzz///NatWyMjI8+fP//ZZ5898sgj" + "Lpfr4MGDJpOpXs1gdntgpjKZTA9Cso/t27dbLBaXy1VQUFBUVJSVlTVu3LjHH39cJBLVq/oCvy1R" + "ajudzoqKim3btlVWVhqNRoFAUFtbGxQUJJPJHA4HYZkcDicqKiomJubQoUPXrl1TqVRdunQhb5AH" + "Dwg87Ke5wXaBefCgAUVY4UkRiUQikai1634w0kQikUKhQO16Ho8XFhY2e/bsV155JS8vTyKRdOzY" + "ETzGbrf37t27R48edrsdgUJ2u33FihV5eXl8Pt/Ly0sqlW7fvn3+/PlcLvfzzz9PSUkRi8VSqRRH" + "pqen9+7dm6IokhGRpunp06dPnz4doUMY/JA/d+rUKS0tjXwCFarL5ZLJZFOnTh03blxtbW1UVBQ+" + "pCjq1VdfnTx5skqlkkgk/fr127BhA9IhZmVl7du3z2KxwLjVFLXGWhxgOdevX5fL5X5+fuhJs9lc" + "WFjI4XD8/PzkcjmfzyeiK0jWsJDfjvqAkajV6piYmKqqqtzcXJFIFB4ePnny5D59+tTU1GRkZMTH" + "x1dVVU2dOjU5OVksFlMUFRoaOnLkyOzs7Pbt2w8aNOi33347ceIEntpzzz13h2onoG4mk4ly++zu" + "ey/9ffj7+2N8Qi9fVFT07bffbt++fcaMGWlpafVsvTCkgVjzeLwuXbps3rzZaDR+8skneXl53333" + "HcrMsWkTOqRfv35Hjx69evXqwIEDkdC1Xi51D1oWHvbTTEDOMcodemO32/9tJlDoadhxH38n6PTu" + "gIgtxGgQdz7Ju0q5sw9QFIWFhOzJhEIhctfy+XzUGmvt2zVS9BtFBoiOgcPhBAYGBgYGsg9uWFwW" + "n3Tp0gX/ZRimb9++X331VXBwcEpKilqtJraWetN6o/0G/xT+lkgk+ITwFfIHl8v19fWF7ge+Hoqi" + "FAqFTCYjZo+QkJCQkBCXy1VZWQlFkd1uZxdlg+MSSmqNRsPn89Vqtbe3N4TeD37aFfBCWHo0Gs3Z" + "s2eXLVsmk8l+/PFH0McFCxasW7eOdpdzHzJkyHvvvUdOB9PFQ7fb7Y3eLJfLjY+PX7duncvlQj5u" + "8ggCAwPff/99iqJCQkJSUlLYZ73yyiv447XXXhs+fPi1a9d4PF54eHhYWBgYVaO3A1UWiEWL5/tA" + "8muUMVapVBqNRq/XX7p06f/+7/8mTZrUp0+fqKgoNJXD4cCgBdU2GTZSqTQ9PR1xAxwOB9SQDYZh" + "evbsmZiYWFFR0bNnT/hn/21z/gMOD/tpJmC5JarP2xUkasPAygc/elMvP+hql8tVW1uLxMR8Ph+7" + "T+ghbty4IZPJ/Pz8QJLIiXgoZOIzm81tw5yAG8eKeI+XwiTO5/ObbSqHs/jixYtqtdrHxweLFr4i" + "qmoQ1nq7drvdvnPnzg0bNlRWVgoEgujo6KlTp8bGxjZcqx5MgPaVlZV98cUXyB8TFRUFOsswTFZW" + "lt1uf+ihh4KCgnx9fTt06MA+lzxocKA7/1DDmKa/ibCwsMjISFIfg2osozraoNFoQOaoB6PIF3ZB" + "/fv3HzVq1N69ezMzM8vLyzUazeLFi/fs2ZOenv7oo48igwNJesRuM4fD6dSpU0hISKMXhy/S19f3" + "P//5j06na9++fYvfrwcN4WE/zQQYqCmK4nK5IpHoX5jvhwiecnJyQkND/f39my77BcMwOp1u48aN" + "+/fvt1gsISEhQ4cO7dOnD03T2dnZK1asuHr1qkAg6N2792OPPebv708IEJv9UBSF4kStnf1gsXQ4" + "HAjhuZeBR8x1zbl953A4hw4d+uSTT5By5uGHH05KSsJXKP6FfTlKgJG7o2m6uLj4t99+czgcU6ZM" + "qa6u3rx58zfffLN48eLW8uphxeVwODU1NYidJkVydDqdTqeTSqWJiYlJSUlJSUn1kpKTB01ch3cA" + "hgfykP3TRrKlcncoTsy4q1z90+s3BeAW5HK5o0eP7tWrV+fOnS9dujR37tzCwkKr1Xrp0qXr168f" + "Pnz4scce6927d0lJCQyl9dTQAQEB/v7+txtI6If27dsjt1Bz3ZkH/wAe9tNMgLEaZg9s6VrF/PtP" + "gf0fjO0URUHPAbsXpuP9+/d//vnnM2bMeOSRR5q0GTt27Fi0aJHdbpdIJBcvXjx06NAPP/wQFhb2" + "ww8/HD58ODAwUK/Xr1ixorKy8v333ye2BBKSCmd/XV3dnDlzwsPDsTMWiURI1W82m3GnYrGYlP+U" + "SqU8Hq+yshJ2da1WazQalUollgT8hMVigUwYRSfq6uoQyiSTySwWCwkMdjqdyM3jcDh8fX0FAgHq" + "dUulUoFAYDAYSktLBQKBWq12uVxms5kk8/Xz84NZEZYeu90uEAj4fP6FCxfMZvPNmzf3798/YsQI" + "mUxGBiGpIcpm5ISYwnIA8z4eaH5+vs1mKykpycvLUygUOAZVMCl3fTRoINieTSyQ5EfZxBd3jaSL" + "OIB468DbLBbLnj17SkpKSkpKcnNzV65cGRYW1qtXr7S0tPDw8NzcXBwGzxd7fS0vL3c4HJ999lls" + "bKzdbtfr9Zs3b2bcOY6bbvjdFxCRbGho6PLly/ft2/fxxx8jbRKkKlqttqam5ttvvxUIBFFRUfPm" + "zYuJicGzQGAp6QqTyUQSTtZT9bIfN+MuckfAuHMlkHgokiQTzAxXw7kkkTGZ2Ugn8/l8qVTK4XAU" + "CoXVaoWhCD5Q9i/ChkTU3NSf/ad4yhSrGB/aAJMSMT6RWyODjbSH/CEUCtGAuLg4hCKmpqbOnz//" + "t99+O336dGlpqcFgyM3NnT9/vlQqNRqNUqkUuQ3Jy4IYgts9O3azW4uh8V8ID/tpJnA4HJPJ1ObF" + "zpgcCwsLb926ZbfbQ0NDVSqVj4+PQCAAk8jMzDSZTMXFxZhJm2gXbjKZcnJyoMRMTU3dtGnTunXr" + "1q9f//LLLxcXFz/yyCNvvvkmh8OZO3fujRs32OwBa3BpaSkmYuQFgekbvhUk5SPWBdJ4xp0KFidS" + "FEVIA2F+RGgJRsjj8UCG4L4h4gxM6JRbIkauT7H22WwTDrsP0QaSVZzIm4xGI9a/L774YvHixWKx" + "mCR6Jvt1oolGk3AXNpsNbAbLks1mMxqNIHavvPJKWFiYXC5HuVORSFRdXe1wONB4qVRqs9lcLpdK" + "pUJxLrJ0oSd9fHycTqfZbDabzTY3UBaDiCR0Oh2Hw6moqCgrK8N9Qd18+fLly5cv//TTT5D7EIJV" + "z7SQmJj4xhtvhIWFoRprVVWVl5eXzWaTSqWta+/B5XKDgoLkcjlSMONZgApD/JSbm/vhhx9+8803" + "SqUSt4Z0hfj79OnT8LaT5M64rMPhQAg6bGZCoZCEoxK2yl7mserjQcCV3DB2nf0UiKPZaDTeuHHD" + "ZrPl5eW1a9eO0GL8geMxPolrrF4PNNwx4qcJcf/LyQT8GMxJo9Ewf867w+Vy27dv/8Ybb9hsts2b" + "N+/YsaO4uNhkMiGng8FgKCkp0el0CoWCcdeqe0DsWB7cNTzsp5lA0zRWoLb9znA4nD179ixbtqyy" + "spJhGKlUmpSU9MILL0RGRgqFwg4dOtTV1WVlZaEqU9OtQHw+Pzk5uWPHjiNGjBAIBJ07d96yZYvd" + "bpdKpVOmTOnQoYNAIECSG7lcXm9/STajDMMolcrhw4eHh4cj2w3IB2KLCNchNw6/kq+vL6Zjq9WK" + "tQRWH1ABvV4PVxp2wDabDVHooCkkwS7RdgiFQmTjhfIA1IRhGIiy8S3ZjuPGscV3OBz4A8aYsrKy" + "oqIiHo8XGhrq4+MjkUiww4aRoLa2lqZp6FJxR3CTURRlMpnMZjOSzYDBkCVKIpEYjUbQEez+vby8" + "HA5HTU2NUCjEgmSxWKBKJiFgxAJaXFwMHTqfzzcajXw+XyaTIXL4xo0b6HDcDuxk7AGD/4KNkQCi" + "es44hmF8fHzS09NtNhsKTF68eHHSpElwa7Z42NE/BegCdN80TUdFRc2cOVOlUkVFRdnt9nfffffK" + "lSvnz5/v378/5VZ8o8aI0WhcuXLltm3bMM4xinBN5H/iuAEKjq/AaWArEggE+HWi2MMIxGYAHJ3Y" + "WtiUnaZpq9VqtVpNJlNRUZHVav3pp5+ys7NhrQExArEmbQYdJ80gGwwiKmKTckRlIt4KI4EMALwR" + "aB7ZJIBhOxyOixcvUn8eME6n8+TJkzk5OS+//PLUqVMHDBhw/PjxPXv2ZGdnY+Rv2LDB6XQOHz48" + "NjaWuo28yYPWBQ/7aSZAQotFQqFQENt+S7frPqO2tnbhwoUcDueVV17RaDRff/213W6vrKxs166d" + "RCJ59tlne/Xq9eKLLxqNRoZViPG+g8vljhw5Ej9x5cqV1atXW63Wvn37SiSSUaNGURT17rvvnj17" + "tqKiYuzYsfUmMj6fTyowREZGvv3229hPk/3o7aQz4CvsUlNY8glPAnXAHE04BFlOKPf2lJ1UELSD" + "clt92KfAyETKp+AX2bM5+RCs6+mnn5bL5e+++25ERATWM7Kfxk+w1zDCqNAeUBmQmNzc3NmzZwcH" + "B7/33nuBgYEMw4BPoNw6GA+sBbAD4VziE6FZldQIq8MOG6Yy/BAMYDC5ORyON998s6CgAJ1mt9uJ" + "QQJBfFar9XYDqbq6etWqVdu2bRMIBFOmTHnkkUeIGe9uBlYLgaZpi8UCIwpsihqNxmazdenSBbXb" + "QkJCcnJyysrKKFaWGo47E7RCoUBuAtot2LJarV5eXnq9HvQUlWLBdUwmk9VqRaAA0j3jMMptcyJx" + "ZDiYcWf0ptwUH4QG/YwGgPTTNF1VVZWXl6dSqWBWIfYYkBu0weVywQwJckyskkKhEN5hIoci7jYM" + "PMrtM3W5Qd4CHI/r4B1EaZTKykp/f3/QOBgUQYtjY2MjIiIeeuihvXv3rlmz5saNG+Xl5T///POR" + "I0fGjh378MMPI3iwoenLg1YED/tpPqAaAHuD0vZw6tSp0tLSZ599dsCAATNmzBAIBDU1NeXl5ZiS" + "BAKBSqUiu/+mawaWhOrq6mXLlv36669isXjGjBlDhgxxOp2YpgcNGlRbW6vX60+cOGEwGFCKGSD7" + "RY67FhXnz/nv/3LbR45kqx1bcLPocrl8fHwgWkIB0bs2QLpcLolEIpfLAwICOnbsqFQqm4FJOJ3O" + "iIiIwsJCrHYymczb27t79+4DBgxISUnZs2fPvHnz4MQxm81IXIQTdTrdt99++9tvv3Xs2PHTTz8N" + "DQ0lJKx1ESBCQSQSCdjG/v37Fy9efPLkySlTptTW1p48eVImk0EMDu7IcddUFwqFs2fPfvjhh3Ei" + "zC3E10nIOjgTKQDHduniD/YGgJh52C4qmlVcrN5oN5vNn3zyydq1a99+++2nnnqKcr8jOJ6cyHWX" + "CQMBgmjPbreD88GyqNVq0UJMp7g+yBnltrMyDFNTU4PUFRaLxWg0gvbJ5XL80Keffnr27FmLxXL5" + "8mW1Wv3DDz/k5+dfuXJFJBJ9+eWXvXv3TkpKkkgk4eHhTz/99GOPPbZ06dJVq1bp9fqcnJzr168f" + "OnTomWeeQRoIbCTu/yP3oOnhYT/NBMad1c3lcmHT0yY1QNh9Xr16de7cuQqFYv78+XPmzLHZbPU2" + "YU29YUKK4YULF2ZlZSUmJj777LPp6ekURVmt1iNHjnTo0GHAgAEDBgzYtGnTggUL8vPzQ0ND2aeT" + "5hFbRevF/e12+Ed4PJ7RaCQuufvU0saBHXxMTMypU6cUCkVQUNCgQYNGjhyJtRDeSYqiYGyot+ie" + "PHly165doaGhL730klartVgscrnc39+fWNFaC3g8XmRkZFRUlL+/P0RjPXv23L179+7du3ft2kVR" + "lK+v70svvRQXFweLIO3OLgH5PNIyEUUaCAeJwCCSc/gliXGO+rN2mBxPXg2XO404xWIzFIsGsQdb" + "YGCgSCRKSUkhLWFYonjiWcPB2CmBypA/HA4H1EtsZxYuAvU9xdJQs5OUNrSM9unTJysrCx177dq1" + "kpISaM7MZvOZM2dcLlenTp2wYYMo6uWXX+7cufOvv/6ak5NTXV19+PDhrKystLS08ePHp6SkkF/3" + "oHXBw36aCXDAw/xLucNkWrpR9x+wJx86dKhr164ffvjhzZs35XK5SqWClRhVuCmKQpp5qsmUgyaT" + "6ddffz1z5kxkZOS0adNQ1ZzD4dTV1c2fP3/YsGHTp083mUwk5IqciAUDAVZUm2A/ZDEjuR/v5Y6w" + "dEG6RC5IZKf1Vsc7+AfJugvKQlwYRI3EPpKm6QkTJgQHBwcFBaHaFMUS7pA1mCyTgN1uLyws1Ol0" + "BoPhnXfegclqwIAB06ZNa3WiHx6PFxwc/NprryHEmsfjxcXFvfvuu/v27UNcYWpqapcuXYgdgjgW" + "Kbewnfi8CHUgRAc2MNKZ9Xg/2wjE/pD9oKk/cyDyLfvpg2NRrPgAfM42KXFYYYDkW/LTaP+dzcYN" + "r8C+BfKHSCTCW69Wq1NTU1NSUmpqaj7++GM+nz4yNnAAACAASURBVD9jxozg4OB69dQEAkG/fv0S" + "EhJ27969YcOGgoKCurq6vXv3nj9/fvjw4UOHDo2NjSXVG5thS+DBfUEbXIAfTBB1BcR3rX1NvR2C" + "goIgyLVaratWrcrMzAwLC2vfvj3lTrUM6Tdx5zeREUir1Z48eZKiKI1Gs2DBAqFQKJPJJk2a1KlT" + "J6VSuX79+oKCAp1Od+vWrdjY2JiYGHIilmSDwXDfm9SyIJTC9VepX/7OpSDZplhByKC2bOUQVG6N" + "lh0l4ieyChJNNNgn7BDsVcTf3x91xSH6IUwLMV84hminaHfuGRSQR0IghmH8/f1RiKOhZeIBB03T" + "MJyQT3g8XnJyclxcnNlsZsdzAQ6Ho7a2FjsNiPQbZXvsDwklul0b6l2hXu/d+b8MwyBJBDxWRD3d" + "6MH1mlGPRdVr8+0e4p0frlarxXBFkiShUBgYGBgREeHl5YUQB6oxzhQYGDhx4sQ+ffrs379/xYoV" + "t27dKi8vX7169e7du3v27Pniiy+iCEnrItb/ZnjYTzOBGNu5XC60oi3doiaBv78/tCA5OTk5OTkx" + "MTHPPPOMWq2mKAqFciIiIpKSkmAAbzqXuVQqjYiIQDx5RUUFrAUSiUShULz77rvffPNNVlaW2WxO" + "Skp66623fHx86p0OcWUrWh3/EnAMEX5wL5ciEg3CdbAIVVVVIZ0BNEYgmg370G63Z2dnb9++3Wq1" + "otSXWq1GzM7p06dlMllycjK42vXr1zUaTdeuXTMzM3fu3InEBLDf4Bjqz3v9et5kmqaTk5M7d+6M" + "iiXETAVZbmt/uGg/gp4aXW6xwFMsG0/LAsLqB0RrBXU2RVGou46gy0ceeQS7sjuciBp5U6ZMGTRo" + "0LJly7Zt22YymcrKylDv7J133unVqxeJYvPgAYeH/TQfSCAMspi0yej3jh077ty5s66u7ubNm0ql" + "Mj4+nnEnD4RZRSQSffXVV7Q7ZKOJ5mUvL69ly5ZBO8nWEFAU1aVLl59++qm6upphGMR21XsKWESx" + "orSBbVw93QbFSl53d1dDqDNJf4fPXS7XN998s3HjRpFIJJfLrVZrQEDAU089hYwDRAjicDi2bdv2" + "7bffGo1GsVh84MCBwMDAZ555JiMjIysra/bs2TRNz5kzJzU11el07t27d9OmTYsXLz5w4MCWLVsC" + "AgKQQ2jjxo2jRo168cUXIXBu1M9CsYLpOO4qbyT9EvVXtoEHHyCI5M3Ch2xzBW6WYRi4nht911wu" + "V2lp6c2bNxFRJZFIgoODoZjBHAXTCE3TNputvLzcy8sLaT8xlmpqaioqKrhcrpeXl7e3N7xFjdpj" + "OBxOTU0NyXra4p2PCvYk+B89GRsb+5dGQViMGIYJCgr68MMPBw8evGzZsnPnzhkMhuLi4v/85z99" + "+/YdNWpUUlISUoj9zTt1/TnXqAfNAw/7aT4grtLpdMIm0dLNaRJwOBwvLy8YkOt9TjWwqzddJ5At" + "5u0SraLqSENg7iam73v3E7U4yNJos9kkEsm93xQRYeC/tDvpEYfDUSgUY8aMSUxM1Gg0e/fu/fHH" + "Hzt16hQREcFxZ2isqqpasmSJWq0ePXq0l5dXTU3Nzp07lyxZkpqaitwzFRUV33zzjVqtDgsLE4vF" + "BoOhoqIC0Wpvv/12ZGSkXq//7bfftmzZ0qlTp/79+zPuJNq0W9HCvjs2hSVDom28euRVuh1BJ6EV" + "PB6PLQEmYBgGqaIPHDgAcbRUKk1OTp45c6ZcLl+7dq2fn9/w4cNxkSNHjvzwww8DBgx48sknkbvy" + "+vXrP/74Y3Z2tkgkCggISE9PHz16NGwnjRp4YPrV6XTsoLP72CH/CEKhEBpq2Gn+vlIHt0amCGQb" + "P3To0O7du0+fPl1bW7tjx46zZ88OHDhw6NCh8fHxDcsGs0HeTXaW83u9Nw/+Njzsp/lgMBjA8duk" + "3rnNgCQL4fF4yCXY2qck2D9IspN79z6IxWKBQMBxVzagKIrD4UilUpVKhSJcJpMpKCho/vz5e/fu" + "ff7557FU2Gy2rKwsk8k0YcKEAQMGwDCTlJSUk5OjVCpR2UMoFF6+fHnr1q3Tp0+HLYHP58vlcm9v" + "b+Tx43A4wcHBR48ezczMBPsRiUSos+FyubRaLbb193iDbQDEhGmxWGpraxvGJdE0jSSEFEW99NJL" + "HA7nxIkTO3fujI+PHzVq1Llz5wIDA4cPHw5C+eOPP547d85ms/Xq1QsG3QMHDuzZs6dbt25JSUmn" + "T59etmyZWq3u27dvo5MbTdPe3t7QYCG+rGVdYHcQDP19gK/4+/uPHj26b9++GzZsWL16tUajKS0t" + "XbVq1bFjx4YPH969e3ci52fzVIYFl8slk8kCAwORXKNtsPNWAc8y3EyA7o9xl/prdVEn/x5gSkKq" + "N6lU2tLNuVdg8oWUWy6XO++5xrvL5QLhIH4lJOeFMhebXaFQGBoaqlAoCgoKSHI8kUiUm5sbGBgY" + "FRXF4XC0Wu327dsrKip8fX21Wi3qkY0cOdLpdO7evbtHjx4QrKDOgNFoJGFl3t7earW6qqqqrq7O" + "29sbql4Uzbhy5Ur37t3vR7e1bpCVFQ4yhBo0nHAsFovdbo+Pj3/88cddLld8fHxWVlZWVtbQoUOR" + "bBCL8alTp/Lz8ymKKigoyMrKateuHbray8vrnXfeCQwMHD9+/JIlS2ATalRmxOFwYBby9fV9EGw/" + "tLs0yr1cBKMRNE6tVk+fPj0tLe3tt9++fv260+ksKCj473//i3RK2EGRn6NZ5dIQASASieLi4l59" + "9dUePXp42E+zwcN+mgk0TROXOd6EB0QA6AEbWDMMBgNmpTbgicctlJeXo6rGPaa6gVbd4XDAkUE+" + "R75m2p3NAfyey+WWlJRcvnx56dKlVqv14Ycfrqur4/F4YrGYz+fn5uZu2LChvLzcarWWlpY+8sgj" + "DMOEh4cPGTLkrbfeWrRokVwuhxjCx8cHrgqOu/4lfMcwM5BAboqigoKC2sAju18Ad0FW5UYPMJvN" + "Vqv1zJkzr776KpfLvXr1qlarjYyMpNxLO03TDofjyJEjNptt4sSJx48fP3v27LBhw+Dg1mg0H3zw" + "wdixY8PDw6dPn+7j40MyetQDwzB1dXWY9B6EXNuYge12e21tbUBAAPFn/aOLkCTmGJa3bt3KzMyE" + "aw+ZGNVqtZ+fHxFBm81mpVKJPTACYOGdNJvNlZWV58+fX7t2bceOHT3Zg5oNHvbTTGDcBaTui9HV" + "gyYCe1vG4XCQp7FVW+lIcBBSLt1jwBfkO6isBPJBRMeogQAzDMMwN2/erK6uHjp0KEKuRCKR0WgM" + "CAg4depUQUFBaGhoz549VSrVb7/9tnXrVlRCcLlcUqk0ICBgxIgRCxcu1Ol0/v7+DMNotVo8CLTh" + "woULt27dSk1NZYsqXC6XSqXy8/Nrq9GU/xSQhLtcLqFQqFKpGp1z8OzsdrvBYCBPs7q62mg0wvbj" + "dDrLysqysrJg2ONwOHl5eUaj0dfXd+TIkVVVVefPn58zZ45KperTp8+LL77o5eV1O/aJfQXE1C0+" + "AeJmnU6nXq+Hlfcu2BgJqaupqTly5Mi2bdtOnDiBmi2+vr4DBw4cMWIEzJwwYUJpREYy8QZYLJYj" + "R458+eWXCDW97zfrwe3gYT/NBBKOSzbfnk3qgwlCEWDPaBvCZyxd7OJfd30p+NGMRqPdbketeCIV" + "r6uru3z5MofDKS4u/uWXX7y8vMaPH69Wq1HWWyqVXr9+fdeuXUuXLq2uro6Njb127RoqKyEcmmSC" + "GTRo0NmzZ3ft2oUFRqvVGgyGJUuWhIWFXbt2bd++fUqlMiMjg9RkZdx1G+x2+511pv8S0DQNYRbc" + "TF5eXo1ONUKhUCQSRUVFzZ8/n8fj6XS6GTNmHD9+PD09HdUhKIrKzs7Oy8uzWq0olsfn8wsLC+F8" + "fPvtt6urqwsLCzMzM3fv3i2VSmfOnNnoACOzHzuLYFN3wh1AiItKpWInnv5HF8F24uzZs99//31O" + "To5Go6FpWiwW9+/f/+mnnw4JCVEqlUQFxbjLjICVcv5clJ6m6W+++QZZYe/7zXpwO3j6upnANvlI" + "pVL6nrPuetAUQIyMTCbTaDSogsTOBN1KAXpBdrf3MvAQJEzihBl3oXWaps1mc1VV1UcffYTKrFKp" + "9PXXX0faXKFQiDjndu3aTZw48euvv/7oo4847mJqUqk0NjZWoVD4+fn5+/tj6zx16tS8vDyxWOzn" + "5+ft7S0QCJYvX46XKCgo6JNPPklKSoI8iyhakIH6fnVaqwbJ9UBRFGo4wI3IPoZotvh8vq+vL4fD" + "0Wg0SOTt7+9PUVRAQIDRaMzNzfX19R0yZIhYLL58+fLZs2cXL17cvn37jz76aPz48T169IiLi0tL" + "S9NoNBcuXCAal4ZNgjMIKaBafN6zWq3QXyIT2N9vksvlQi05h8NRV1e3Zs2aX3/9tbq6GiM5Kipq" + "1qxZPXr0uJ0lif0I2H+TF6rFe+ZfBQ/7aW643CWpPQP9wUebMSRgdsZCeO+0m8/nGwwGIsSBOmT0" + "6NHI5iCRSLy9vXv27JmSklJvGRAKhWPGjPH398/Ly6utrVWr1Wlpab6+vjKZTCwWz507F5knGYaJ" + "jo5esmQJRVH+/v5jx46Ni4vT6XR8Ph/FLuRyeT0vHoKWW7WPsinA/LmsRD2gCGhhYeGQIUMkEkld" + "XZ3L5XriiSeUSqVcLkfMY25u7uTJk5944gkUXJs/f/6uXbvq6uooipo7d256erparS4uLr569erw" + "4cNv1wz40WBxeRBAqCE40N9/HaBYqqys3L1794oVK0pKSqCEi4qKevjhh0eMGBEWFnYX7UG6B9St" + "u4vTPbg7eNhPcwPu3rahqG3zEIlEbeMxgf2wy2LfHTA7wyRG8rPBhJCcnIwUzIjooSjKbreze4/I" + "UPr379+vXz+Y2ShWxqDAwED2f1GSnWGYoKCggIAA+LngOAblqhfHhK/axvO6j7gDKUS0NpyPUKN3" + "6NBh8ODBfD5/3LhxcMSMGDEiNTUVuzX8F6PoqaeeWrly5YkTJywWi0qlGjp06KRJk25nveByuXw+" + "/8Fx64D90O7Sv3//RIfDsWvXrn379p08eVKv11MUFR0d3a9fP0h8SPmXf9oek8nE4XAkEomHvjcn" + "HpTh2ObBMIzVaqUoCr7htmrkxPrEsFK+Epf/A2LuwoJ6u6QDaCHit2HSaIk23k9ApgOdwX3Zf6Nn" + "IKMmD5ooJ9g1kth1A9hJltmhv5Tb2whHDFsJQbm9A4w7GR1ZXUjyTNwUaBaME+zrI3CPrbRrXasL" + "qXcGRlhUVCSVSoODgyFVAdHEM6VpWigUkrurl6bZaDQ2TO9J07RKpZo4cSKoACgsqcs2ZMgQvLbj" + "xo1jv8vdunWLj49HMyIjI2tra6Fl8fHxIb/Y6L2gsOiDMANQFIVgfii+MSGgM2l3UnIcRmoD4w26" + "efPmkiVLDh48WFNTg6TPgwYNeuGFF6Kjo0UiEU65O/JdVVVF07RSqfSkqmpOeNhP84GoniFBfUAm" + "gvsLUnWSnQuYepAk3n8pOSfZDmmaBmFt7aBp+tixY5WVlUql8tatW/Hx8XcX4ku5LZc2m43snsl1" + "Gl6NTTVud0y9r9ikBwC/AXuD4YpxV97GAVhWHQ4HautiDQag8rbZbKQofesSBpGYaovFcuLEiQUL" + "FiQkJHzxxRewfoH8FRYWzp8/n8/nL126lJzI5XIxyWDZvt2airmIrNz10PBh4RPUcOBwOL6+vr6+" + "vn/nRpBFAo/g7xzf1ACtNJlMR48e3bBhAxR+kGO6XK7o6Oj09HRiM8MQWrdu3fLly8vKypA5Ijg4" + "eNasWRkZGfVmkrub5bAneXBsY/8SeLq7mUCzgMn6AZkI7i+gLGHXl2AYBv5sFB5qwbYBsAdwuVyr" + "1dpoHQxs/rAbtlgsrT3i3Wazbdq0aeHChQzDVFdXf/311zqdbvTo0XdX/JJhGJlMJpFILBZLcxrG" + "Nm/efO3atejo6JiYGLVajRBukACSoaChEqiysnLjxo07duwwm80IQBszZkwr0nIhfUB5efnXX399" + "6tSpmpqaAQMGMKxStWVlZZ9//vmZM2dQToScSLvrfCEzEyqctNhtUBSYq9Vqvfdkm/cFSqUS7/iR" + "I0fq6urIhg2TVVZWVnR0dGBgIJfLra2tvXTp0rp16/744w/UA4mKihoyZMiIESNCQkJw4r3nLsJP" + "I/3Pfbg9D/4ePOyn+QBqz+VyhUIhoiVb9bLaKK5evbpmzZrRo0cnJCRgb2q1Wvfv319dXf3kk0/C" + "C4PJgrjDSH5YYktoom4h16co6uLFi9u2bRszZkx0dHTDKqdEfqjVas+dOxccHIw6qcQvhqmTdpcN" + "53A4SFVsMpmQV5dxF8OCsQF2cngrhEIhXABmsxmJRhwOh8lkoihKKpUSjmg2m5H/A0u7UCiEaAat" + "hZ0DG3ez2SyVSuEBgXzS5XLx+XyJRKLVak+fPr1kyRJUo0QK2i+++CI4OLhr1664IxLST7tTcZK7" + "I91F+CtFUTU1NbW1tRA+o0ws6beGfY6LsL0JxEGGsqMUqyYDMRNS7rqPuJdLly4tWrSosrJSJBIF" + "BQW1b9++ffv2sbGxAQEBcrn88uXLMA4hfInsxV0u17Fjx1avXp2YmJicnHz+/PnVq1cnJyfHxcW1" + "FssrIuzKy8uzs7NramrsdjveFLgCHQ7H6tWrT58+jTzX7BPZDkeUNyH9zzabkSPJYGZ7Husd2RDs" + "5wWQl5rYucmHyHNDhlajlyJTIjs1WsMIefIr7E9ud0BDKy/DMD4+Pi6XS6lUzpw5s17xO4ZhFAqF" + "QqFwOByHDh3auXNnZmZmRUUFj8eLjY0dMWJE//79wTX/kciM3f8Nv8VjUigUHvNPc8LT180EImtA" + "sjgOq0ZSW4JGo9m0aROXy42KipJIJA6H49q1a7/++mtQUBDuF7M25g6s1rCEUe5Js4mSwILT8Hi8" + "srKyrVu3/vHHH9euXYuIiIiJiWEfxp5tbTbbrVu33nzzTYVCAZ6BB4e1FvlzSZuhmbBYLAiqIiW4" + "QW7AgaDeAE/icrlmsxldgcnXZrMRYmS32+GvISyZy+WaTCboIiFwQbpYlLgi21aOu54XTIxms7m2" + "tlaj0RD+wTCMTqebPXt2dHQ0yBYWVHhSwNiMRqPBYICNBM+IiHsEAkFxcXFVVZVWq33rrbfUajUC" + "2knxJqy1FosFFNDLywu3AIYnFotNJhNN03K5XKfTkbznLpcLdjiUraDc+2nkOayoqNBoNLAcXLly" + "pbCwcO/evag3LhQKoZlgGEYul7OdOE6ns6KiIiEh4T//+Y9arT516tQnn3ySn58fHR3dWsTRGEjJ" + "yckLFixYuXLlnj17xGIxZhKXy7Vz587Vq1dLpVKr1YrIbQKMEAxCvV5/6NChqKgoqHNEIlFdXZ3B" + "YLBYLLgOKKOPj49UKtXpdDA4IRyMy+Vi8FNujzDlNiyB65NxTka7QCAQi8V4Ilar1Waz2Wy2ysrK" + "wsJCg8Fw48aNgIAApGVi02twdwxmrVZrt9slEgl+F3m9oZVEn8DiIhaLiSUJswq8nxioeEEo94tP" + "DrNYLJWVlUePHqUoKiAgwMfHB+H97D0AwzD5+fnff//96dOnb926RdO0SCRKS0t77bXX4uLi8Ibe" + "wZPbKMh2otGBh9nDk/2/meFhP80Exg3MLFRbqTVdD507d/bz88vKyrp582Z0dDSPx7ty5UpBQUFG" + "RgacTVeuXLl69WpkZGRkZCRWL4PBcP78+fz8/MTExM6dO8MHf98bBpsT3EDItneHxPwASkBbLBbK" + "XaEWlMLpdBoMBqvVSpSn9WS2hARgScCNwzjB3hPD0I0DHA4H8iCgkfgQx+D6SL2IGR9AyxGWLBKJ" + "MNdbrVaMMTKTwnwCOxy5tevXr9+4cYM4jyh36jbKXaeCRGMBZrMZf5DyTzabLTs7+9KlSyaTSSAQ" + "kDqjFEWRHkAkEQktBo3DIopgOrSKlIgn7wW5DjFEmc1mFLtAn9jtdkTcgHWhbagkQzbZAoFg8uTJ" + "DodDpVKVlpYeOHBAo9GA8FGt4e1j3Ck3uVxuQkJCx44d9+3bh3oRVqv11KlTc+fOFQqFL7300vr1" + "6ymKwjDAuU6nE+HrTqezpqbmww8/BLWFVh0phtGx8ElZLBaFQkFUwISI8Pl85Lah3IkuabeoHOwH" + "jxh6YYxeoVAoFovBh4xGo8ViQcpQ8KopU6aEhITA3EsIAaRdGLQMw2i1WgSgYfuk1+s5HA7bXwmW" + "L5PJYDFFY8CfyOh1Op0Q7eElxWFop06nw+6CXQCEGJ8qKyuXLl26adMmk8mEVxLv+JgxY9q3bw8h" + "/90NHrzvjYqfsBFqGzWVWxE87Kf5AMMvSbHVBly89UzoDMMIhcJhw4atXLny4sWLMTExOp3uyJEj" + "YrE4LS3NbDYvWrRoy5YtKGTTsWPH119/XalUvv766/n5+XK5fOXKlePHj582bVpTNBVp8QQCwaBB" + "g1C66OrVq97e3pjo2e4bYjB3OBxxcXGLFy8OCAigaRreH7AKk8lUU1ODaR3zMq5vNBohigQVUCqV" + "cJNRFAXWi+uDLmDtIZ4grVbrcheWB4MBscBagn0tmeUhaxUKhfAfWSwWjUaDzTcKFxgMBmzEs7Oz" + "jxw5As8XfsvLy2v06NEpKSkOh0MkEuF3cb8qlcput2PzLRaLlUqlXq83Go1Y5yCSLS0t3bhxI9Lw" + "iEQiqVSKAHhSQJ4Yk7BsYO2BAUyv14vFYq1W63A4ZDKZSCQymUyQUWu1WsIXcQrsZBaL5dy5c7Ac" + "YFtPFNCIuodVCaupxWIhyyTDMBKJxOl0nj59+v333y8rK5NIJMHBwaC8rWKfjfFAuS12drsdiY4q" + "KiqWLVtmNBqlUmlOTk5VVRWXyz179myPHj2IWQvMAITb398/MDCQYRiLxQJOj6eDtZZop9j+JvJG" + "sN1PxAqIoEjkSKTchm2cwjYlYnsDcw7eAoVCga9IhBoxyqK1HA5HqVSCl+Ap+/n5Ed5AuY0ocKmT" + "XQfDMEg7jncZN6JSqSi3wBmHYQZmGEav1zudTpVKhZcCly0rKzt16tTy5cvz8/NxVkRERPv27VHo" + "IzQ0tF7PNAqGYQwGQ35+fnh4OJJXobt27NjB5/MHDhyI2693FmyoPj4+Hs9Xc8LT182Heonm2oDo" + "h+wgMcni7oYOHbphw4bc3NyMjAy9Xn/p0qW0tLSAgAC9Xn/gwAFfX9/XXnvNarXm5+dXV1drtdqz" + "Z8/27Nlz8uTJWq22sLCw6VqLDo+Li4uJifH39//iiy9g26+nGMAECsIRHh4eHBxMNrvkMKVSqVQq" + "m66p9xEjR47cuXPnihUrCgsLGYYJDg5++umnJ0yYcNe5AUtLSzMzM2NjYydOnAhzy31vMxsMwxw4" + "cOCdd96By4yiKKlUGhMT0759+9DQUB8fn8zMzE2bNjEMQ2w/bK8El8sNDw+fMmVKdnb2gQMH9uzZ" + "Ex0dzZYHPbBgUzQejxcUFERYtc1mQ84ws9m8efNmmCE3bdrUpUsXGNU4HI63tzeYTXBw8Oeffx4S" + "EsLn881mM1xI4OJkAICXE+sO/sWrQTYDxEpHscyW7NBOYumEIUcsFsOkYbfbi4uLf/jhh6Kioldf" + "fTU2NpbD4UDjApricDhgjiLxerg+bE5CoRAzJ3ZNFouFz+dbrVbkyCG/LpPJwMnYZst6CiEYmcrK" + "ytasWZOZmanRaFDlvra29vDhwzt27Dh//jysa5GRkT179hw6dGhCQsLzzz9fVVUVGhr6l1YZ7CKu" + "Xbs2c+bMcePGTZ06FTXer127tmrVKplMlpGR0eiJKD/8gA/ItgcP+2lu3E7313qBXSPZyQUGBnbt" + "2vXUqVMajSY3N7eiouKZZ54RCATYuZaWlm7fvr13795Dhw4NCwurrq6WSCQXLlxAfePHH3+8qXc/" + "mKZvV/eRcm8QcS++vr6t/UlJpdKRI0cmJSVlZ2dbLJaEhIT4+Pi7C/gCsLyxsx3e3wbXA8Mw3bp1" + "S09PP378OEkh3b59e29vb2z3+Xz+zp07YWBgeyGtVuuOHTtwyqOPPtqjRw+NRnPq1KlXX30Vkqkm" + "bfb9BawgNE3DKxoSEvLyyy/n5+eLRKKioqKdO3c6nc4nn3ySnciACGV8fX07depE1DkwFhJHD7k+" + "/iBvHzEFYVUmbjh821DDSzY/7GaTw8LDw0+dOlVVVdWnT5/Q0FC2hZWI5OqZVRqaxutRGfrPomzG" + "nXqKYSVEYBrouymKSkhIuHz5cmZmplarLSgoOHXq1G+//VZUVKTVakGeunbt+uabb0ZGRioUCiQh" + "hG0SZTru8JhwL1FRUQqF4tixY4MHD0aV0xs3bpSWlk6YMAGvzB2u0Npnm9YFD/tpbmAaYm5fEKe1" + "ACoBgUCwdevW9PR0uIcoipLJZCkpKX/88ceyZcuuX78eERHRqVMnmqaVSuWTTz6JdGG7d+/u2LHj" + "u+++m5iY+MYbb/zwww/Hjx/ftWvXwYMHlyxZIpVKm7rZd1D8YANH9BAQIzdde5oa2KNHRUXFxcUR" + "pfZd2x0ZhjGZTHCrUe7klvezuY39okgk+vTTT1FDgyjBKbd1xGg0Qp+h0+nqnZiXl5efnx8bG6tU" + "Ko1Go06nS0xMRM35Jm3zfQdxDMH+wePx0tLS0tPTGYYpKyu7evWqv79/fHw8STUJawpMNZAYk0UX" + "yhXQDnJ9NhdEZBlRnrFpDTmFuMzYvieKFTtGlGfEUwa9Nggc8WDSbu0anGXkangHOe5CcuS/5Lfg" + "P2LLmYmyjSQEb0jFcDxC5CCNev311yEAwvWDgoKeeeaZjIwM1IXFBeEo1Gq14eHhf0n34YObMGHC" + "ggULcnNzY2JiDAbDpUuXzGbz4MGD2Z5ZNiCWanXDsrXDw36aCQaDAW8szMKu1h/uTtM03uSxY8eS" + "D2GW79SpU1hY2Jo1a7hc7uTJkyMiIojVUKd3IQAAIABJREFUfd68eTRNHzhwYO3atX/88YdUKnW5" + "XD///LPD4di6deuKFSuOHj06ePDgpm55QEAAwzAII2LcqYQBaCdBEQICAlr7lESWMep+lC2DtEsq" + "lZKFkL0eNAxjvPMgZ/tZ8HbUW00p926BoihwYqyp5I4w3kgqAfaOQiAQJCcn79+/f/LkyUqlEqFh" + "Y8eOhRKldW087HZ7YmLiSy+91KNHD8pd04OiKJqm/f39H330UbVaXc+eRwzMUIiDNhHcQb9SzyrW" + "6JFcVuptcljDA8iHcADBM1XvhSLHsK/GdmlRDUYRoe/1LtXQQ13vLHyFZBD4UKfToTPDwsJGjBgx" + "duxYtVrNDmKA1g18CzQO7SQmMYx5q9WKbBT4dsCAAevWrTt48GC/fv0oiiosLGzfvr2Xlxf6oWF/" + "Imqyta8IrQ4e9tPcuJed94MPvNvh4eEdOnQoLCz09vbOyMiAzKKmpuarr77y8vJKSkqqqalB+e6a" + "mppFixadPn06IiIiPz+fw+H8zeyx9wKEkCDElAhLAczOpLwXAr48IMCuXSKRQDHK9uESFwb19wz4" + "jLu8ACgL0Z/+U0B90pB40TSdlpY2ffr0zMxMu92enJyckpLSq1ev1li9hMfjhYeHP/XUU7S76gL7" + "q6FDh+IVIx+SACLyScvqnDBm7u75NgUkEgksOi6Xy9vbu2/fvqNGjUpJSaH+PHTxd3V1tcudSJOE" + "bWIUIcLA6XR6e3uz5cwKhSI5OXnXrl1lZWVSqTQvL2/YsGGIUWh0N8WO4vSg2eBhP80NtpCwTQ53" + "eMpHjhxZVFQUFxfXvn17zBe+vr6TJ09eu3btjh075HL5mDFjBgwYIJFInnrqqS1btpw4cSIsLOyD" + "Dz6Ij49v6hY6HI6QkJBJkyZ169aN/nMGDsadkAmfIN9gm3xMdwf0BrbORO1OVMYIPROJRHB03tls" + "xjBMdXV1dXW12WwWiUReXl7/j73rDI+q2tr7TO8zySSTRnpvkEJCQkhAeu+9CIKgIHJVriKK3Ktw" + "rahc2xUEQQUsIAGEoCGAkBAMkEA6IUB6n5Tpfc73Y32zn+MEQkAMoPP+4AkzZ87ZZ5999n73Wu9a" + "SywW362MGjxxYFSw+4ogCIlEMmbMmLS0NHCf4SqSRLcKqQ85sKMH58ykmmRADU21eZAkicOsQMHz" + "wMcw1V74wBEVFQVC49jY2CVLlsTFxUGNLRCV29kFOzo6kM2uabFYzpw58+OPP4J0TKfTqVQqBoMx" + "d+5caol7JpOZlpZ25syZHTt2hIaGWiyWAQMGCASCW54f2TJlPDz98zeBg/30ESCqwmKrgH3PO92H" + "HNj2m5KSkpKSQv2Kw+EsXLhw3rx5kH0ff758+fLly5f3WQvBYQeJUrp/C7EwEE4CQtoHvmw8VIB8" + "P7W1tUFBQRDXg9ddpVK5YcOG7OxscGAJhcIFCxbMmzcP4vARQkVFRevXr584ceKSJUsYDEZRURFE" + "/wF34XK5bDb7H//4x8SJE2k0WkVFxauvvjp8+PCnn366B4UynU7X6XQgEIG4IbtvhUIh1KV6pAE9" + "gNkklbcBDbIjmvAIILYLzzY9jGTIKAikkMPhgGCIoKQyx9lZ4WFh9W4v/cIWi6W9vR3Zlvke/G59" + "g5iYmJdffrmzs3PZsmVUlSGVl2BbJrQZJnAajdbR0VFTUwMhdU5OTu7u7t7e3jExMdTf0un06Ojo" + "gICAI0eOCASCqKgoyCl/O3+r0WjU6/Uajcax1+pLONhPH4EaQ/EIbTrvOyDp34NuxW2BY09gGnJ2" + "dnZMRlSAt4skSaFQCAQRT+gajebixYtSqTQ+Pt5gMNTW1u7atcvd3R0SLFmt1o8++qiqqurEiRPD" + "hg0LDg4WiUQDBgzQ6XSlpaU6nS46OjowMDAyMpIkSY1G8+2331ZUVCgUiokTJ/r7+/fQJNIGXIrE" + "ARD2QngXvHE99wxBEA0NDVKpVCgUwhqvVqubm5uDgoIQQhqNRqFQuLm5GQwGhUJhNpulUqlddume" + "YTaboRr8H72x+wQWizV//nz0e7pjB4j/goh96uezZs2aNm0ansYxmbPzSEKoXUFBAUIoICDA3d29" + "h/ZAn4Mg/Q/emgO9x8O7Dv3FYBdB+qCb88DwkMueCBsQQjQazdXV9UG36KEDsB/IwYh+L08WiUST" + "J09esmQJnU4vLS19++23P//889TUVDabXVVVVV5eTqPR5HJ5Y2NjaGiov7//e++9p9PpXnrppZs3" + "b7722ms4bLCqqqqoqIjH4ykUiosXL/bAfnDsEhii+qQDHgGYTKampiacShun2bzd8Z2dnZs3bx45" + "cuT06dPZbLbBYPjxxx+zs7M/++wzBoORnZ2dnZ29fPny/fv3X7161Ww2JyQkzJ0718nJqZezGaQ3" + "fHjYD0bP3WIX548QsksZgIPw7cRk4KMcN25ccXGxRqMZMWJEzxkW1Go1RMP8wdtx4K7w8K5DfzFo" + "tVrYKENJl7+zl/chJ3+g9UG2movd5bR/Z4AjAHNE6uIHEcVQnolOp0dFRSUlJdXW1kKlpNOnT3d1" + "dS1fvtzf3//s2bNQZIrD4Tg7O7u7uzs7O7u5uWH9cnl5uVwuf/nll93d3a9cuQIegVuuDTgiGlEq" + "Y/RpjzyUMJvNUOkCeOod7TQ0Gk2v1//888+Qd7upqennn3+GvH9arfb06dMVFRVbtmw5duyYl5dX" + "RERERkbGkSNHev9qELZ6EbeMRe979LIlMJaAt0EoKLKlDMDjn26rjkflNyAqCgwM3LRp09tvv52U" + "lNTzhSDt6qMeXvrIwcF++gh4l/A393w95CBJEupXwH+hVJADGFB9gmaryWU3mGk0GhhgoN6Ts7Mz" + "k8lUKBQtLS3Z2dkQKs9isU6fPt3a2gq/JSkVYWEPLZfLT58+rVargTaVlZVBlupbrrXYTYlsCYL7" + "qiceanC5XB8fH/L3aa97gFAojI+Pr6ioaGlpIUmyrq6utbUVEk80NjY2NjYuWbKktrZ29OjRr776" + "6rp162JjY8vKyu5KTG3HlR8sqCbeng+DimOgb8PlzG4psaf+F+6UTqd7eHj4+vreMdMETtV4D/fi" + "wD3D4fnqI+A33zFBP8wgCIJqgobqng+2SQ8V8H4Xdrp2jgMQh8LfWq22rq4O4q5v3rxZU1OjVqu3" + "bt0KZ2hubvbx8YGXwi5+W6PRFBUVabXanTt3ajQaLpd78eLFkJCQHnbGYJHS6/VarfYvoHH+44C8" + "iL0fuiwWKzY2Nj09/dixY6tXry4sLFSpVFA17ObNm1KpNCkpKTw8HLJNlpWVlZaWJicnUyP+7ohH" + "V9Ty+OOPQw1mu5qA9xHYknTfz+xAD3Cwnz4CSOdIW11laupSBx4eEAQBiYPhv3cMlvm7Aaw+2Pll" + "F3pttVqrqqrkcrlAILh48WJ+fj7kmN67dy+NRps9ezaIarOzsw8dOhQbGwuEhurSslqtp06dQgiN" + "GzcuKCiora0tPz+/sLBw5syZt2M/1NqcjncKAAWtqIaxOyIgIMDHx+eXX36Jj48/c+bMwIEDPTw8" + "9Hr9zZs3o6OjhUKhRCJpbm7+/vvvDx8+LBKJxo8f33sqYDab9Xo9rtj6qLxQ0M5x48aNHz/+T7XN" + "YAH1n3R+B24JB/vpI0Ctb2A/sH48Wtlm/z6A2A2YowUCgcNWR4XVatVqtTgNEpVtkCSp1+uPHDlS" + "WFjI4XAaGxuNRuOrr75qNpuvX78+ZcoUiC42GAx8Pn///v3Xrl2LjIxECJnNZqjYBZlsjh49mpaW" + "tmbNGplMplarMzIy0tPT1Wo1n8+/5SuD/S8sFuvRqt715wHLpLDnq+eVG4qhRkVFff3115s2bero" + "6Bg1apRQKOzo6KioqJgxYwadTq+urt68eXNzc/OQIUNmzJgRHh5ux317AJhUTSYT1js+EgSIsBXK" + "7ZsLOdDHcLCfPgKQHgjBsAuhdODhAfn74oiOMCI7gFSZzWaDkJZGKXTK4XDCw8NLSkqqqqoYDEZI" + "SMjTTz8dGxtrNpsfe+yxmJgYoC8CgWDatGnXr1/HiQ+WLFmCLaMMBmPUqFFDhgxxd3eHtJnDhw/X" + "6/Vms9kuoZ8dwJjqWEW6ozcEBUK7Y2JiDhw4UFNT4+7uHhUVhRA6d+5cS0uLh4cHg8F45513iouL" + "X3vtNbD6wFTWy+wVTCZTIBA4hFm3A3SLw3LZx3Cwnz4CbHpgjnZM0w8zoLoFLOqPrljhTwKQeJBG" + "gSET94+Tk9N///vfjo4OgiCcnJxA6clgMAwGw9ixY3EdSpIkPT09t2zZAjJngiCio6MRQlB31mw2" + "r1y5EiqQgOZUJpMtWbIE55VGtyophV0Gt/NU4kX3bp8mSSkYjs9wy7P1YNK45Ul6eXW7T7B2sLvi" + "ym5WwQkJe6POAVP0sGHDIiMjCwoKBg0aFBkZSafTKysrY2JifH19Ozo6iouLzWbzl19+eezYMTc3" + "twkTJiQnJ/fyRmg0mlQqhTL1Dnlvd3RP1OlAH8DBfvoUUO9GKBTelWDQgT4DQRBdXV04v61jprYD" + "MEI6na7VaoH9UHf/t0ysTLWf4d1td2+CXTpjXEcTZ8mChBFEtyIVHA4HqBLUJ7llJQFIeHMPklVQ" + "6cF18e4FGkC1ReEyCN0vga2J+EZ6+eJDBgEcCmc2m1taWtzd3aFgu8ViAV25Xq+XSqVgKsOxRVDZ" + "FG4cqGTP7hu4ER6Pt3bt2kuXLkVGRgqFQqvVGh8fL5PJGAwGh8OZM2dOa2srnA36HIL1enMvwJUh" + "ztzxQnWHSqWCpOcPuiF/LzjYT98B73scNP+hhdVqFYvFELhkNpvFYrFjsqYCWzhgNe0DEk/Y8m5D" + "YFf35ROqOvT8TgFl6b1OhXp1nNQRlDRAvGAt7+VJQEkG2hcmk4lrwd7x0rhUhclk+vnnn48cOfLK" + "K68EBAQwGIyOjo4PP/zw8uXLOp3OxcVl2LBhK1euxL+1WCydnZ33MM9ER0eDGAsk7cOHD4clmc/n" + "r1q1Ct4LiAwQCoV2VeV7Rnt7O/Dmu23S3wFsNvuOY9iB+w4H++kjMJlMmPhA/vygm/PnAlYa+Lu7" + "pw/q5sDfsHT9Sc3ANRDQrVJs385V4eHhweFw1Go1m82WSCQOfToVuD/BNtAH5TNBZ33w4EGCIBIS" + "Evz9/QmCAB8KtAcbZrDCt/tJSktLDx06tGTJEm9v77u6utlsViqV33zzTW1trclk4vP5QUFBaWlp" + "kH4aW6osFktWVtaVK1dWrlwpkUjwz0mSrKmpOX78+M2bN52cnCZOnBgaGtr7HgNTVn5+/ieffFJY" + "WEgQhFwu9/PzQwh99dVXx44dEwgEfn5+9fX127ZtCwsLGz16NPyQzWZ7eXnd1Z3iKzIYDKPRCHdn" + "NBrB/AZmGwgCgD8gLVYvXw0ajSaTyZAj38dtYDabXVxcnJ2dH3RD/l5wsJ8+AuTNo9FofD4fJpF7" + "WDaocRywV8D5iHGi29udFjavWMiC+QcksUC2heRe7+//YTAYdDrdvn37jh07plAo2Gy2r6/vvHnz" + "hg0bBq2tqan53//+d/nyZYj9GTBgwOzZs6Ojo7lc7n2kQUaj0WKx5Ofnnzp16vr1687OzpMnT05J" + "SQG1JkmSBoPh2LFjwcHBMTExVqsVuzBoNFpdXR1CCOKPiouL4+PjORwOfIvnbmyNwMs//grn7sOR" + "2Iii/MBpzai8we4M+BiqvoRaGxJ7XvDmG9Ykk8mEfUPUYdCzDoaqSsFHUpUl1KtbrVaVSgU9Bp/A" + "/eLjqW2jNh4/XLtL4/ul3jii1Jg0m80ZGRkff/yxWq3mcrne3t4DBw6Mj4/39fV1cnJisVhdXV3w" + "XnA4HLtEEhaLxWKxlJSUvPzyy3Q6feLEiXfLfuh0ulqt3r17t8lkgqTJ2dnZX3311aRJk5YtWwZl" + "4EiSbG5u3rp1a21trVQqfeKJJ+C3Vqt1z54927dvNxqNQBZPnDgxceLEZcuW9SarL5h8Ll269N57" + "71VWVppMJh6P5+XlBaREpVKFh4e/9dZbPj4+Bw8efOutt/Ly8jD7IUkSvGDwpLDTza637R4E/hZe" + "B8KmewMfHLQZn4rFYmEqbNds/Af2EsLcAk8TGBXt94Va8am6jwrqYbf8HNneiB56EnV7C+zeEWzb" + "w+8U1deJr0t9DfFpu3dv90biT6jXhZOTJCkSiWB75pBD9CUc7KePQFIy/dzzSWA2r6mpKSkpMRgM" + "TCYzKCgoMjIS7Ctms7mgoKClpQVWazqdHhYWBm57g8FQWVkpEol8fHzw22UymcrLyxkMRmhoKCz2" + "f5z9MJnMw4cPf/311xKJJCEhASFUUlLy4YcfhoSEuLm5tbS0fPzxxwUFBcHBwd7e3jqdLj8/v6Ki" + "4oUXXnjsscf+4KWpIEmyrKzsgw8+aGxsDAwMLCoqKi8vf/XVV5OSksxmc2FhYVZW1k8//bR48eLY" + "2Fi7iVipVEJEN41GO3z4sFqtxvWMcLJXgUBgNpsNBoNUKjWbzTqdDtgnKCTYbDYUhkQ2Mxhhq2Hu" + "4uLCZrN1Op3BYIDljSRJFovF5XI5HA44kgAMBoNKds02QFo/BoOhUqnYbDZIbbq6uqDGJxhFYLMO" + "T9NsNqtUKovFwuFwsAQH5ySk0WgqlYrJZPJ4PFgAjEajwWCASzMYDB6Pp9FoYCFksVgdHR3Z2dlK" + "pTInJycgIEAikXR2diKEuFwug8GAOgk8Hg8y90N1Fz6fr9PpRCIRJtlarVan00FBDD6f39nZifNB" + "sFgsiURiMpm0Wi2TyTSZTG1tbYcOHVIqlTD4y8rKysrKfvzxx379+slkMg6HU1lZCfciEonsxoDV" + "aq2urv7www/r6uq8vLzgQdzVQLJarXq93mQyBQUFLVy4UCqVdnV1ZWZmfvvtt2w2+5lnnoE+zM/P" + "r6qqslgsx48fX7x4MYyo8vLybdu2eXp6jho1KjIyUqFQ5ObmHjp0KCoqCtIo3xEMBiM+Pn79+vWH" + "Dx/OysoymUywiWIwGLNmzSJJ0tPT8+LFi+fPnzebzYmJidRhDAOAJEmFQtHc3Ax5t6lMFFMTZEtx" + "DtMI9BKQAKxSwgY2RBFvUUmzHRPCFAEfrFAoNBqNSqXqbpSyYyeErbY8dT9mt1VAv6dBdpzJjp3Y" + "NY+0hZ4gG8vHqZnxnhDzEnwe7HXtzqKot0m9ih0Xp56cuoMlSbKtrQ3mhNsMBAf+FDjYTx8BVsE/" + "Qn0QQjqdbv/+/QcPHuzq6oJ3lc1mjx49eunSpS4uLhaLZfPmzRB0gxCi0WheXl5z584dM2bMtWvX" + "Xn75ZX9//zfffBMs8xaLpaqq6pVXXnF3d1+3bl1ISMh92XNYLJZffvmFx+M999xzwH6uXLmybdu2" + "a9euubi4nDx58urVq2vXrk1KShIIBFartaKiYvPmzQcOHOjfv/99LClqtVovXryoVqtfe+21AQMG" + "FBcXv//++7t27UpMTKyurv7ss88KCgpgGQbrBZ5kYQFmMBhQiKqioqK2thbilfDch3dpJEkymUwg" + "DXjahYo/sGpSzT/wQx6PR6fTgceQtpgpfH5YrmCQcDgcCK3CRhfwGJIUIxNCiMlkgqsCisfBjdgZ" + "iiDPCrWQEDXBIOzF4YfQG5CagbTVnYZoLDibTqfTaDRmszknJ6e0tBSIDrJ5MIHE0GyFt4CvAGXE" + "ywCsr6DGhUUIR5BBf7JYLDgARjhQJWgwbrZSqbx69eq1a9fg/ND5kEqRagZQKBS7d+++evVqWFhY" + "Z2cnMM67Gud0Op3L5bJYrEGDBo0ZMwZqu0ZHRz/xxBOZmZkLFy4E88+ePXtYLBaHw6mpqamsrAwO" + "DkYIHT9+HCG0Zs2a5ORkqBUVFxd3t6mo6XR6QkICeLtOnjwJJRdoNFp4eDhJkllZWW+99VZ7ezud" + "Tg8NDaX+UKVSwZFVVVWrV6/m8/mQcQA/bmwshDVYo9FALVL4FdQzwRYRGNIQ8cfn8zFDgkGL7dAI" + "IQaDAa+PyWQSCAQIIcj0A/mjP/jgg8jISBj8pK2GKMTbA9fBW0SSJPV6PXZx4og/GBLwLlDbwOFw" + "wE9Ns+Uix4ItIHYymQyPPdgqAO2Qy+V8Pt/V1ZXBYKjVagjLNxqNLBZLqVQyGAywuonFYo1Go9fr" + "mUwmFn3D0IVjtFotfsHb2tpAgw89AHeEdWNYR6/X641Go8lkKi4uhlt2GH76Eg7200cA8SBUjQET" + "Pfihen8G2Flu377dycnprbfe8vT0rKurO3jw4Pfffy+VSpctW0YQRGNjY0BAwOzZs93d3RsbG3/4" + "4Yf3339/wIABRqOxrq6urq6upKQkMTER9oVnzpyBDatKpSLvRsXZMwiCUCgUra2tCCGRSDRkyJDo" + "6GixWKxUKgsKCqKjo0eMGIG1EQkJCatXr/7888/r6uruI/shCMLd3X3mzJmPPfYY2FogUy1CyNPT" + "c9GiRZGRkV9++aVarcaOPwBJkiDpgBktLS1t+PDhfD4fZIkGg4HD4bBYLHDqwZnxBtFoNEKZT4FA" + "ALMYOIlgLlar1SqVisPhiEQiuVwORazgohDuIZPJ6uvrdTod2DxgEudyuWCJAaNUZ2cn2GOw7wkz" + "DKApYP+wWCwajQZ+aDKZwBIDvkVYLTw8PCwWS3t7O4wEIGR4DIBGDSLb4XioOGE0GiGHoclkkkgk" + "QUFBEokElhar1WowGFpbW0UikUgk6urqglsDVyOke7FYLAqFQiAQQP4euBG4NIvFMhgMer2+q6sL" + "EyPwktBoNPBjYtKJKGIyWDihAZilwbpoMpmysrJOnz49ffr0SZMmrV27lmYr0I16lwUHHwaEDGgx" + "Qig4OLh///4lJSW1tbVCobC6urqsrCwxMTE5Ofnzzz//7rvvXn/9dRqN9ttvv/n4+KSmpmJHkqen" + "5/r163vp4cW0GCHk5OTk4eGBKHHsMBqDgoL8/PwMBkNXV9e33367bt06fF96vR7GBkEQJSUlwA+M" + "RiOefICsYLoPjxu/BXYtwU8T0w7qYcBucSV5TPqxNQX+NZvN586dO3fuHDaKYJqO134apfQb1RNE" + "tQ9hIwrcHTbk2HUdokgMqRQKiAvmc9RhgM+P/8CGWOoVqeYlal/hIrukDej3NY7sTFP4vxaLxdfX" + "VywW92ZgOHC/4GA/fQRsy4UCkDBx39UZ1Gr1mTNnOBzOa6+9lpKSYjabAwMDhwwZsnr16l9++SU1" + "NTUgIACUNFOmTIFJjcVivf322+Xl5b6+vlar1Wg0ZmRkJCQkmEwms9l84sQJMHtwOByqhOWPgE6n" + "T58+vaSk5L333vviiy8CAwPT0tKSkpIkEonVam1sbExNTbWr+QdOgbq6uri4uD94dQyCIMaOHYsQ" + "otFotbW1Bw4cqK2tnTt3LkKIx+ONGDEiISHh4MGD3edNLFiBKW/WrFmTJk2iUcIx8MzVfQHAk7jd" + "HEf83p3f/QBqA4DKUI03uGHU1hLdIgeJ36s38OdU8ztuBtAavAclurkwCJu7Ddms+jhbT3p6+qZN" + "m1atWjV79myY7rEBHy9deGzjQUXY5COYCmDTF3UttHscJEnqdLrt27fv2LFDp9MhhBgMhpOTk4uL" + "i7e39/Dhw0NDQz/44IPs7GyCIICWgQ0MIVRTU/PFF1/QaLT4+PjCwkK1Wt3Q0BAfH0/cjbrCTsCE" + "bAIRkUik1Wrb2trMZvPnn3+OEBIIBECS8vPz5XK5q6ur0Wh0cXGh3hHcPhjqenN1DKvVigvdM5lM" + "lUqVkZHh7e0dExPzxRdfFBYWPvvss/n5+fh4giDEYjEYJp2cnDZs2NCvXz+RSKRWqzkcDqbsMBHR" + "aDQ+nw8sWa1Ww1MWCATgQDeZTNDzyBa4DkQfLDrYIEqn0zs6OhQKBZ/Ph0hJGo0GWwiTyXTz5s2f" + "fvqpqanp8ccf9/f3p9FobDYbqtALBAJsOrJareAPhUEil8vt6nUAUcC5MaEZ2I0LrYWdAK5DZzAY" + "2tvbLRaLu7u7yWTSaDRwAFjjEEJGo1Gn02HqBkYjsMrAhwghbLHDUW/YFQheY/yhWq3WaDTgRyZJ" + "ksfjYQINbxC8xSRJmkymzs5OzNcjIiLAnvfHJ2EHegkH++kjYM+FUqns6uq6qykYoNPprl69ConI" + "sJaQIIjhw4e/9dZbZ86cEQqFKpXqypUrJ06c8PLyUigUp0+fht2eUqmEpQ5EG2Kx+OrVq3V1dbCZ" + "A4fFfXnrSJIcMmTIiy++mJOTU1FRcfXq1StXrmRkZCxZsmTgwIFWq7V78UWquuV+AZYchUKRkZEB" + "wueUlJTZs2fjuQZHr9j9EDRS0L14n2dHJjChoXYadeXG6yVe3dGtEu7hXWl3amXHV0hKkkyqyhhR" + "dqtWW747u9UCn4TaQtgEU6UPiKLfxLeDlTr4GJPJ5Ofnx+PxnJ2dsXzE7kLd1WPwW7D3UC0H+C0g" + "bU4NUCxR9+scDicyMhKMVT4+PoGBgUOHDvXz85NIJLDGYJMhrHm4t8vLy5uamhBCmzZt0uv1SqVy" + "z5494eHhUVFRds+u54EEry347/Cvbty4wWKxeDxedXV1Xl6eXq8/c+ZMTk4OlKbPy8ubNGkSaLOA" + "aOITVlRUCASCuxVfQ/4esG+ZzWaFQnH06FG9Xv/ss896e3vX1NSAeQ8fD4s0UAqpVDpy5EgOh4M9" + "vNQbp/1euX9LRo5BZfB2P0HddMRUqNXqlpaW3NzcBQsWeHp64pGA7d/UQUjaRNaYenYfz9T2UK/b" + "XbyIdxrYCgWUHf7bXVeEz0+9x9uZ67pvXeCEeL9kpRShs+tG6iAEkne7bnfgT4KD/fQRYD4Cmee9" + "WTiBpoSFhfH5fGwWtlgsUVFRJpNJrVbDWlVWVvbmm2/C3KHRaMaMGTNgwIDr16/zeLyhQ4deunQp" + "JydnwoQJOTk5TCZz5syZeXl5EOB6XwiQxWLJycnx9fVNTU1VqVRdXV3Z2dnff//9zp07ExISuFwu" + "RK+A+R2Ov3btGoPBuO/RnhqNZvuL9W+9AAAgAElEQVT27T/++KOrq+ucOXOmTp3q4eGBdTOww8N2" + "AjwJgpcHzPh4w90bMTjuPbulBXWbu1E3qkf+3oDUfaK0O+ftPqT+tvsfNErMf/cG2J2TOhioqz54" + "5UBEQuU91MNu2QMIIYiavmVHUX9I2ERC+IDU1FRfX1+EkFgsFovFOAQPIQTSNzhYLpeTFO9JeHj4" + "okWLtFptZ2dnaWmpVquVyWQuLi6o22rUAwiCUCqVUKTTaDTCbqGioqKxsZHL5fr6+mZlZWk0Gk9P" + "z/DwcKvV2tLScuPGjZycnKFDh/r4+OTn55eUlMTFxREEYTabq6urt2zZMnz48AULFvTm6nbdCGYY" + "Op3u6urq5+eXnp7+73//m8/nt7e3m83m2bNn35Ld8vl8cJJClNYtRyPx+81Y9wd0u86hHoONpt1H" + "EZPJFIlEPB4PWgKMB2vp0O9pDUEQOFE4tT12DcMHU1/P7mZa3BJswsT/pbaz+1V6Mx/aHYC3E/gr" + "u5F8u97D4RQ9X86B+wsH++kj4FcCv8D3xvQ5HA71JITNkuHs7CwUCmk0mlQqTUtL8/PzUyqVgwYN" + "iouLgxKSFoslNTVVp9N99dVXISEhhYWF8+fPnzJlSlFRETbw/nHQ6fSNGzcOHDjwtdde8/Dw6Nev" + "H5fL/eWXX9rb2zkcTkRExIEDByIjI2fOnAnGjKamps8++8zf3z8oKOi+NADAYDB+++23Q4cOBQQE" + "bN++HcSqsDPDhhOItMKuegwolglzH8h9enPFnmeu3n97txMu/vDefni7r+w+ofISkBPdcqPf8yc9" + "rKl2X9kdw+Vy7SS91B/K5XJ4RjiLIPzcz89v/fr1JEnqdLpTp0698847s2bNcnNzu10bbgmSJBUK" + "hU6ny8nJ8ff35/P5LS0tJ0+e7Orq2rBhg1gsLi0t5XA4R48eFQqFBEEYjUZ4p6qrqydOnHjixIn3" + "339/+fLl8fHxOp3ugw8+KCoqWrFiRS+vjkGn0ydMmBAQEODh4UGSJIfDeeqpp+RyeUFBgUKh8PDw" + "WLdu3fjx4/HxVFYhEAiwvvCWj6CXXKf7T+74FZV5d3/RbteSnk91xzb0MMB6+d+ez39H3NXrDOjl" + "JOPA/YWD/fQ1IFL6HtgGj8fz8fE5efJkUlJSYGAgPtuJEydYLJaHhwf478eOHfviiy8i2zYdVKXY" + "dD9x4sSXXnrpqaeeslgsK1euhFAFaM99eQMNBkNsbGxeXt4LL7wwcOBAkiTz8vLa29ufe+45gUAw" + "cuTICxcufPzxxxUVFT4+Plar9dSpU52dnfPnz4dl6X6ho6MjJycHtLf//Oc/wUsyatSolJQU7DR0" + "dXWFKCG734ImFyzkjlnJDgRBQI33hyQ6lyRJ7CmjU+pRwOfwB5fLjY6OnjZtWlhY2N2eH07o5OTU" + "3t7+4YcfglBGIpGsWLFixowZNTU17e3tkyZNAnkHvG4LFiz46aefTCbTmDFjFi5cmJGRsXbtWpB9" + "MBiM+fPn30Mz6HR6UlLSoEGDsHXBy8vrs88+g2QBEHdGNYHgTuhu6XlQIAjijwe9OuDAfYSD/fQp" + "sEe5u8DzjuDxeCkpKfv27duyZcvkyZPDw8O1Wu3JkycPHTqUnJwcExMDuaSRrWoPYctPA7suUEUk" + "JSVxudy2traYmBg/Pz+dTgexqeCJ/+P5fjgczj//+c8dO3YUFxenp6fT6XQPD4/ly5ePHj3aarX2" + "799/3bp1hw8fvnDhwunTp3k8XkRExOLFi9PS0v7gde3AZDKdnZ2DgoIYDEZ7e3tHR4dQKKyqqkpJ" + "ScHrwezZs2Edoi4MFotFqVTCyoEjPh74yvFQAcck93AM8ADoQ6oy2s7MAAYkqh4Iu8B62ecEQeA0" + "P9QiEtibAyf09vZ+9tln76HOF0IoNjZ2/fr1Go0GIWQ0GsVicWBgYFhYGJPJ7Nev38qVK/39/eHM" + "IKSdMmVKcHBwSEgIQui5556Ljo4uKipqaWnx9PQcNGjQoEGD+Hz+3bYBq0kQxWxMkqRUKsUPgvpE" + "LJSc8lqt1mQy9Sa/4p8HCN975NLcPyTE0YE/CQ7200dob2+HZQMiGu7By8tisaZMmdLU1JSRkXHl" + "yhWZTGYwGJqbm4cOHbpixQonJyfIaEeVFWOC5ezsLJFIRCKRWCyeO3fu999/P2rUKEhDJ5FI2Gw2" + "RDj/cfZDEERwcPC6devkcjlkFuFyuVKpFKwsXC43MTExNDQUvmUwGBKJBFLg31+w2ezHH3984sSJ" + "EGIDSQip2fAIgpg+fTqiqEwAECuOg4Ed1McO0DM49vt2MJvNxcXFWVlZLS0tdDp95MiRaWlpBEE0" + "NDSYzebg4GBYwpVKZXl5OUTO63S6ysrKmpqawMDAiIgI8JXcsT0kSdbX1+N4NOvvay9gi4jdU+49" + "wJ46ZcqUW37L4/EGDRpE/YROp4vF4uTkZPivSCSaMmXKyJEjNRqNWCymFny922ZQ/0udPW7pLrRa" + "rSqVimbLAn9vF72PAAkd7REscUqla49c4x3oGQ7200fQarUwDZlMJshicg8rq6ur6yuvvDJs2LDM" + "zMza2tqIiIixY8cmJydD7gqz2bxly5ZbhpP4+/tv3LgRskI/9dRTiYmJUVFRXC7Xzc3trbfe8vb2" + "BuPQH79NWH6kUqlYLKY6+7VaLcSFslgsV1dXiUQCKUb+pDKZDAZDKpU6OTmBaYGaxZWw5YPB4h7q" + "D8F/QWU/97dhjzpIkmxubmYwGD1n7bty5cratWu7urpAaXvy5Mm1a9dOmjRp165dNBpt48aNsBA2" + "Nja+//77S5cuHTx48LvvvpuRkWGxWFxcXP7973+npqb2pj0Wi6W5uRnITVdX10P4vAiC4PF4QqGw" + "j32FWNGPkz4/wMWbTqdDrMOj5UomKAFZ9Lsp6erAIwEH++kj4BBrnU6n1WqNRuM9sA3IVDF06FCo" + "C0Gn0w0GA074xmKxoqOjb7ljZrFYycnJMBtyudwhQ4ZA5jcWixUREYFsyRjvi+0HtuBgG8C1BYD6" + "4KBT+BbSgbBYrHvwA96xGbDrhdzByJauhrBFj9/Opk2n06EmA8To4STO97FtjzQg4hpn4bsdrly5" + "olarFy1aNGfOHK1Wu3Xr1qKionHjxrW3t/P5fJz1p7OzU6FQqNXqwsLC4uLixx9/PCws7Ntvv/38" + "8889PT0hY3LPwDU6EEKQlqb3zwvLYohbBVTbfU49J5VjUd15dsZCHFiE/dF2V4QjsRANn5Og1JO6" + "N+cLvN3U5imVSkiZjYX/EokEXg21Wt3Z2anX69lstqurK4TU4Vu2WCxtbW0tLS3IVvnEzc0NAikg" + "BybOMqDValUqlUwmU6vV9fX1OA0Pn8+HTQ4uMvioAOarwsJCNpsdHh6O7iRPpnoh7e6U7BZS58AD" + "h4P99BHUajVCyGq1crlcSDl/t8sqNuNT30AIDcWf3I5RwQ+p/yJKhWq7v/8IqGGlqBudsmsAvu59" + "3xHaNYP6Yc8RLjQaDawa9+Ca/DsAbDlGoxEKXNwOECun0+k6Ozu9vLzWrl2rUCi4XC7UVzl79qxE" + "IiEI4tKlSxqNBmqfTZ06debMmSBK279/v1ar7U17wIaHK2CAEbQ3JB7IgUKhEIvFmLXA/t4unTFJ" + "krg0BELIaksqTc3QSNiy1JC24ic4WzeyGRSpAd5wMN1W+BMC6XEwNvW00NS7zY5osVigigtJkp2d" + "nTk5OeCFhLRA0LDExMTFixeXlpamp6eXl5fr9Xo+nx8REfH444+7u7tjptLY2PjRRx9dvHiRRqMx" + "mUw+n//YY48tWbJEq9V+++23Y8eODQ0NJUnSYrGcPn06IyPjlVdeyc3N3bVrFzi+wZjn6+vb0NCA" + "y1Y8KoDH9Pbbb9Pp9E8++QRsyT3cArYWw9PE6W2xVA4nF+3Dm3DgtnCwnz4CvBg0Gg1KJdjt9hx4" + "eNDW1gZ/QLCPY6qyAyjYqAl2uyMlJeXQoUOHDh06e/asWCyOi4ubOXMmmCrLysreeOMNHo9nNBq7" + "urogG2d0dHRYWBiHwzl//vwPP/wgk8l6GQNI2tLWIYT4fH7v3ymLxbJr167S0tKIiIgbN24sW7Ys" + "NDQUrJJGo/Gjjz5yc3MbPXr0jh076HT6woUL/fz84IcajQbowoIFCyIjI41GY1FRUW5urkAgiIqK" + "iouLgyYpFIqcnJza2trk5OT+/ftD7Q5kSxUNVVeRbUvT3t6en5+vVqtdXV1jY2NZLFZzc3Npaeno" + "0aPvLfAQa/atVmt9ff0777zDZDI7OjqgJoZMJgsKCmKz2XK5/PPPP7906VJMTExCQkJzc/NPP/1U" + "X1//6quvYge6Xq+vrKzU6XRTp06VSCS//fbb119/HRgYGBQUdO7cOX9/f9B3MxiMsrKyoqIiuVze" + "0tLS1NQ0depUX19fNpvN4XBkMtmBAwfq6+sfuUnPbDZ3dXXpdDq5XO7k5NTzwUCd29vbd+7cOX78" + "+P79+wPvsVgsR48evXnz5tq1ax3zycMDB/vpI+BqSsi2Q3W8Bg8noDY7QogkSUflHTtYrdbGxsY7" + "SpKDg4O3bt365ZdfFhYWNjQ0XLt27erVq1u3bu3s7KTRaO7u7jKZjCCI+vr66upqKGap1+u3bNly" + "5MgRiUSyfPnyXhZ9o2qzeDwejvm6I7Kysr7++uvo6GhXV9cdO3bI5fJdu3bBDe7cufObb74ZN26c" + "i4vLoUOHzGazRCJZtWoV3HJBQcG2bdugCMPrr7/+9ddff/rpp1Dcg81m/+Mf/1iwYEFdXd3mzZvP" + "nz9PEMS+ffuWLl36+OOPQ3U2q9W6b9++Xbt2bd26NSYmxmw219bWbtiwoaSkBMjKrFmzXnjhBbVa" + "/fbbb3M4HPBx3y1wtwDjhHo4XC73/Pnzr7zyCsiqmEzmiRMnysvLX3/99bFjx8J+7Jtvvtm2bVtO" + "Ts6cOXOwpZlGo4WFhb300ktmszkhIWHlypX19fW+vr5Go1GhUJC21MxQ3NRsNnM4HC6X+8QTT0BW" + "C6vVajKZTp8+rVAo7lcxwb4BcFMQTvVGqADGv7Kysv379zc2Nm7evBksi+Xl5Tt27GAwGM8///wf" + "Vxc4cL/wKI3FRxqgpYWX32qrwoizr+r1+ps3b3p5eZWUlDg7OwcHB5O20nqNjY3Xrl0bOHCgyWTK" + "z8/39fX19fXF6W47OzvPnz8PsSd0Ol2j0dTU1Gg0Gg6H4+npKZVKcW1kKCvj7OyMy5JXV1erVCqE" + "EEEQXC43MDAQq4NJkmxtbRUKhXChtrY2lUoVEBAAU8CjJV28K1itVihhDf0P+gbHhIVBo9FkMhmf" + "z4fi1bdDZmam1Wr95z//yeFwmpubd+/efejQoebmZg8PD5FI9O677zo7O7NYrPPnz7/xxhsKhaKp" + "qenDDz+8cePG4sWLp02bBtyoN+3BHihc7L3nhwVCtI6OjoyMDB6Pt3btWolEkp2dferUqQMHDowb" + "N66iomL//v3u7u4zZsyACG1IR65SqYC+XL9+HayDYrG4ra0tJycnKipq5MiRDAbj22+/3b59+/jx" + "4y9evHj16tVJkyZFRERcvHjxxx9/HDRoUExMTEVFxbZt286cOQOhiPBiQhG6iRMnBgUFFRQUZGdn" + "P/bYY6mpqbGxsZmZmfHx8XdbFh4hhJ0sJEnGxMSsWLGCw+FAiKVAIIDszyRJVldXe3h4JCQkYA/d" + "/Pnz9+3bd/XqVdz/YOerqKjYsWMHl8s9c+YMZJyHpKAQVkZVWEOldJ1O95///Cc0NBRCPkeMGEGj" + "0RQKRc8mwz6DUqm8dOmSxWKJjY0FggKJyO0OgzKxUNQdisBTRV3gzKUmCoERmJycHBAQoFAolEol" + "lAFubGxUKpVr165Ftypm58CDgoP99BFwmn8cCQUTB7wJZ8+e/fXXX1etWpWbm3v58uUXXnihf//+" + "BEFotdpvvvkmPz//gw8+aGxs3LBhw8CBAzdt2oTZz9GjRz/88MPQ0FAvLy8vL6/t27efOnUKSv3F" + "xsYuWrQoIiKCIAiDwXD27Nnjx4+vWrUqJCTEarUqlco33nijsbERZjFvb+8PPvgAzqnRaC5fvnzk" + "yJFZs2YlJSVZrdYbN24cO3bs+eefd3d3f+Rs13cFslu+GcdUZQeSJNlsds/B2zk5OWfOnJk6dWpU" + "VJTFYuno6BCLxUKhEPwgVH8ilMBsamrKysoaNmxYv379KisrtVqtj49Pb3oeouhh9bpjHD6yVeGu" + "rKysqKgYN26cn58fjUZbsmRJYWHh559/XldXV1paqlarN27cGB8ff/HiRdillJeX37x5MyIiwmAw" + "5OXlgT1DKBRyudzhw4cnJSUFBQWpVKq8vLxz5861traWlJT4+fmtXbvWyckpLi7utdde++233wYM" + "GAB11+06EyE0adKkZcuWiUSitLS0f/zjH2q12mg0PvHEE++++25VVVX//v3v2A/dbxPbKjw9PZ2d" + "neG6wIEwX1Sr1f369bMTFbm4uIAUnZohQi6X//DDD1artbOzE/7r5eVlRzSdnJyYTCZQBIPBcOXK" + "lfb2doFA4OXllZaWptVqHx7dT0FBwb/+9S+LxTJ69OhFixZ5e3vfsmHQjVB41U6paTabd+/evWzZ" + "MqpNCOZzDoczffr03bt337hxo1+/fhaLpbi4WCQSwQ72biVcDvx5cLCfPgK8+SRJCoVCXKgLPtHr" + "9bt3705OTnZ1dV28ePHZs2f37Nmzfv16d3f3oqKi7Ozs6dOny2SyCxcuqFSq3NzcioqKwYMHw2mP" + "Hj2q0Wg0Go3JZCouLj58+PD48eNHjBjR3t7+xhtv0On0l156icVivffee1lZWQqFYsmSJSDJbGtr" + "q6mpiY+PHzVqlEAgcHV1hTnx5s2bH330UXFxsUajGTp0KOyVvb29W1tbz5w5M2vWrL88GwBTPxjw" + "IR7NYfvBIAgCj94eDps2bVpZWdnevXvZbDabzWaxWPPnz3d1dfX397darWDyJEmSz+e7urq6uLhk" + "Z2dbrdZz584VFxdzudyUlJRnn322N25Hi8UCCmuIebxjIgkwUTQ3N6vV6sGDB8ORERERTz755KZN" + "m7788kuLxfLEE0+AJwgWNqjeVVpaGh4e3tHRceXKFcjNCIkbZs+ezWQyz507d+DAgUuXLnl7ezs7" + "Ozc2NoaFhYF5zM3NzdXVtb6+HiEUFha2YcOG99577+zZs9AekiTnzp3L4/HEYrHFYjl//jz4W1ks" + "lru7O5fLBbZxtyAIAht9IdQL/oatDg4+EAqF5eXlVHuM2WyuqamhpuCCqMmBAweuW7eOTqd3dHSA" + "v+/NN9+kTgUEQUBcmEgkgpJeGzduDAkJ4XK5bDYbrE0PieEHIVRbW9vW1mY2m/fv319SUrJs2bIR" + "I0Z0j/yAbmSxWCBap46r1tbWzMzM4cOHR0VF4Q/x8EtOTt62bVtBQcGgQYM0Gk1+fv6gQYOcnZ0d" + "M8lDBQf76SPgSRn4BGkru00QxE8//dTY2JiSksJkMl1dXRcuXPjBBx/88ssvU6ZM2bp1q5ub28iR" + "I5lMplqttlgsKpUqJycH6qXX1NQ0Nzfjk7e0tAgEgieffBK2env27Kmvr1er1Vwu98qVKzqdDjSP" + "BEGwWKzOzk6NRjN9+vTExEQQ6+FoFLlcDoH0fD4fZoSAgICwsLD9+/fPmDHjrx2zADs88N/T6fT7" + "W3/jLwCLxQJWn55NgP379//4449/+umnurq6/v37DxkyxMPDgyCIZ555BlHMaQMGDPjuu+8QQhMm" + "TABDi1AoZDAYfn5+VMNDD4DCdo2NjZDdoDdyOhjhBEF4eHhgacucOXPS09OLioo8PDwWLlzI5XKx" + "nIXNZru5uZ04cWLcuHHA0kaPHn3hwgUcyE2j0Y4dO/bzzz8jhCBmTa/XQ24bCBEHgH+Zw+GMGjXq" + "woULLi4u8Np6enrSaLTOzs6tW7f+/PPPY8eOBWMPj8cLCwuDQDmDwQArMbY0WK1Wo9HIZrONRiN8" + "Ba3F3cLn8+G9FovFYBKDy5lMJpPJBHzI19d3796958+fHzNmDHTd0aNHrVbr0KFDsWcTWGBwcHBk" + "ZCRCSKvVOjs7d3R0QPxXTk7O9OnTIQiuuro6ODjYw8PDz8/Pyclp1KhR2M6hVCqhwQ9D6kWEUGNj" + "IwT96XS6wsLCV199tbCwcOXKlWw2G4Q+yKZPoNFoKpUKSDxE5xkMBtgKtrW1HT9+XCqVuru741JC" + "MPxcXFzi4uLOnTu3YMGC9vb2qqqqRYsW3UOObwf+VDjYTx/BaDSCJJMqU4Dp6fjx4zweLygoCMwM" + "o0aNysnJ+fLLL0+dOlVfX/+f//zH09MTIUSn01ksllgszs3NXb16NYfDgVgMmIURQikpKfHx8a6u" + "rmazuampyWq1+vv7g4Ri3759mZmZ//3vf/l8PsQgQG3IF198EfZq8+bNGz16NIfDCQ0N/eqrrwoL" + "Czds2EC10g8cOPDo0aNyudzDw+NB9WHfAEwIFosFKntLJJIH3aKHCBBhfkfVM4PBcHd3X7p0Kc6c" + "BLjdr4RC4bhx42Bk3m1GYKzwhVxEPatToTEajQY8GtTMT/PmzSspKRkxYoRAIMDNMJlMsbGxo0eP" + "/t///vfjjz8eP348ODh47Nix58+fR7YCDlwu98UXXxw8eHB6enpeXt7zzz+v1+sDAgIgqanBYDCZ" + "THw+H5ebUKvVZrNZo9HAYmk2m48fP/7tt992dHRMnjz5+eefh+RYCCEoKwbrsdlsBo82TtlgsVhq" + "amogsSdhK5xOvVNcSARTVfDLwOSDEOrfv394ePibb7558eJFiURSX19//vz5fv36JSUlUV08RqMx" + "IyOjq6uLyWQ2NTU1NjaOGzcuMDAwPj5+586dq1atCg8Pv3btWmNj47PPPmu1WiF43o4fQwGZ3j/W" + "PxXwcLHGUalU7t69++zZs2vWrElJSREIBEB0kC16DucnA1H8d999p1arlUrlvn378vLyZs6cOXPm" + "TKrRkcvlxsTE5OXlnTp1Ki8vz8vL6/5WcXbgvsDBfvoImPTo9Xqj0Qgfgqays7MzICAAV9wUCAST" + "J08uKSnJzc19/PHHExMTEUIwgTo5Oc2bNy89PT0/P3/gwIGXL1+OjY2NjIw8efIkpC+zWCxdXV1Z" + "WVnHjx83Go1jxoyh0+mQeMPHx0coFMJOEdzYLi4usbGxbm5uN27c+O9//8tgMCZMmECSJIvF8vT0" + "hJhk3P6AgAAWi5WTkzNjxoy/tvMLhBEgeFSpVH95tndXADMD1QhxS+CyozjfCeox0xJo4OAnd0WA" + "YHHCFgXQqPZwPNAIeNdw2SmQDXl5ecF94asDb/Dz8wsNDRUIBJ9++imdTl+6dKlMJoPkxY2NjT/9" + "9FNaWlpYWNjkyZPb2touXbpEo9EkEklJSUlXV5ePj09tbW1dXd2gQYNw9T2FQgEFVaDBJ06c2Lp1" + "a0hIyOrVqxMSEjC/MZvNOOmRyWQ6d+6cUqkcN24cGFQgT09DQ4PFYgkODh4/fnxoaCjVMoQTdcIf" + "IEnh8Xipqalubm4w80il0kWLFh08ePDixYsqlUoikTz22GMzZsyA8mHQDLFYnJiYqNPp2tvbORyO" + "m5vbtGnThg8fbrFYZs6caTabL126VFBQ4OPjM2PGDFA3h4WFTZkyhfogLBYLOOB680z7APgRBwUF" + "ubi4FBUV6fX669evb968edKkSZMmTQoICMCycYvFolAoNBoN2CNHjx4tk8lKS0sPHTr02GOPTZky" + "JSwsjLDlpQQwmczo6GixWPzxxx+bzebRo0f7+vo+sLt14DZwsJ8+Ak54BcsqfAjRXjqdDqdCBgNP" + "UlJS//79Ozo6Zs2axeFw8EvI5/NjYmIuXbq0a9cuhUJx7dq1559/vqWl5ddff4Uigg0NDR999NHV" + "q1eHDBkyefLk0NBQ8HMRBAHGW+zeSklJ8ff39/T05HA4XV1dixYtOn369NSpU+EdxisWBuySqXzo" + "ltDpdGAihvldKBQC2cJrGz4b/AEeN9whOOkcaas5T95T8Xnsp4cuhfx1sPLhz3E+XPT7ZI843Ry4" + "/LFP0K7luLXUKGuiW9ZgwpYCGN8vjq+hxo/gA3qz6hMEAbnCoXPgZrv/HPoc5+JDts6kXstkMkG2" + "QPxDasOoYwBaDgMAqrVgTgODkxoRA90CzxE/QXjKOEkgvhBpq9MO/4V9Ni59Cs8Ou6istrKpeF2H" + "X9HpdKhYR+3Vc+fOpaen63Q6CLOfMWNGSEgIODQJgmhtbXV3d8fhCOAhAm8vXMhoNLJYLBcXl379" + "+rm6utbU1Li7u48YMQLoi1wu1+l0Z8+ePXv27Pz58wmCyMnJIQhi+fLl9fX1X3/99a5du1JTUw8d" + "OqRUKhMTEyGZMrIlWgTuZTKZPvvss/b2dhaLdf36dZVKBUmu2Wy2yWSqrKwcOHBgSUnJ4cOHT58+" + "PWDAgKFDh9JoNI1Gs2/fvoMHD/r4+IjF4oMHD547d27nzp0uLi54lOIMQ6AcAquzk5PTk08+CUYv" + "6Kjk5OTIyMjW1laz2cxms11cXMRiMTUuydnZ+amnnpo9ezaUqWez2SKRCL51cXFZsWLFzJkzofgr" + "fA58ccGCBdQ3BZyAMBFRH/2DAuQHZ7FYUGUlMzPz0KFDlZWV9fX1e/bsOXv27NSpU2fNmiUSiaiW" + "M+iW4ODg4ODgAQMGnDx5ctiwYampqbieD4bJZAoMDAwODj5x4oRUKh07duw9l3hz4M+Dg/30EfCi" + "yOFwQHgIoaTgtwLGANoFhBCfz5dIJFwuF8QByBagATPmmDFjNm7cePHixfj4eF9fX6hzBJdYs2YN" + "Qmj9+vWDBg0iKLlrEUKQNq2jowPWFZ1O5+vrCyuNk5OTQCCA7L1wKlq3+oigE4KFoYfbhHjXzMzM" + "b775pq2tjcvlQvqW1NRULpdbUlJSUVExYcIEbNvXarUff/zx4sWL3d3dLRZLYWFhUVGRRqMZOXKk" + "v78/ML977nMoACKXy8vKyghpbroAACAASURBVMLCwiCFjEql+u23306fPl1dXT1ixIiZM2faBW8D" + "SWWz2ZAtF1EYDyzzmFXgjsKmCwBmG9Dz1DS+oCTAfQu0A2ZP6hxKNZbgS+OTI4SoSWNvae2g0kfc" + "NiCCVFcU/BbnpUUUgRrcLEg+ceZfNptdXV1tMBislPoMhC0lMQ65grUWky3sssHUH/6LGQ84iTC5" + "QTYOBGkDt23bdv78+cWLFycmJrq7uyPbYAbmp9frrbbMyNCfcE6tVnv48OFffvkFOpbL5UZHR/v7" + "+7NYLPAIFxUVQWQlHC8UCt3c3OCNA+oD0hmBQODm5jZ8+PCrV6/GxcUFBwdfu3aNx+N5enp6enr6" + "+vr+8ssvb7zxBhTTXbFixYQJE2pra3Nzc/ft27d3714nJ6fFixfDdeFCsBDCzTY1NVVXV+t0upMn" + "T/76669MJlMgEKxfv37cuHEtLS3V1dU0Gm3z5s0FBQVA2qB/WlpaSkpKhg8f/vbbbzOZzI8//njf" + "vn1FRUXDhw/vPmBA5YNVKVh9gk1xEonEzr1rJ2fufgAGm822M47CVbpHNoHh+SEx/0DzmExmSEiI" + "m5vb0qVLZ82atWfPnl27dnV1dUFuqhMnTjzzzDMDBw4EryIMSPxK+vv7x8XFJScnw9ttN03R6XSB" + "QLBgwYJr1675+voGBATgScCBhwcO9tNHgEkBpjy8ZsDa4+zsXFhYCEFGyLYt5vP5QqEQwl7ghywW" + "i8/n83i8wYMHy2QyuVweGhoKaeJg8YDEIWlpaRwOp6SkhMlkQsofWBh0Op1OpxOJRHD1r7/+Ojc3" + "9/nnnxcIBKWlpXK5fMWKFbi1dg4FhJBKpRIIBNHR0T3H1EA48XvvvQcJh4RCYU1NzcaNG7ds2ZKQ" + "kFBQUHDw4MG0tDTMfsrKytLT0/38/KZOnbpjx44ffvgBWrh///7nnntu8uTJ95YeDRJLdnZ2VlRU" + "7N27t6mp6c0334SdcW5u7jvvvAMJ+Pft29fZ2fn0009TCQSYKwwGA5fL3bx584wZM3DtC9j+0mg0" + "nU4HFBYTHbAeAWNANlMffnBYYQCbcixwgUcD+0sul8vlcsFsBklE8MIMY4awqeaBJYNDk8ViAcmD" + "xlN9RjDbUvWYOPaHsJVjg46yu33CBoQQOGiQzVCk0+k6OjpMJlN7e3t7ezsYTmBUwx1B7xE23w0m" + "MdAMPP7tWCP0CfADyJiHl8nc3Nzjx4/L5fINGzaIRKLIyMikpKTg4GBvb2+xWGwwGPR6PfW1wrBa" + "rTqdLiIiYt68ee7u7i4uLj4+PtAePz+/qKio9PT0yZMnOzs7w117eXnt27cPNifwbsbGxu7fv18q" + "ldLp9Hnz5g0dOtTHx4ckycDAwO3bt/fr14/FYr388svx8fFNTU0ikSgkJCQhIYHFYgUFBX3yySe/" + "/vprVVVVSkpKYmIilo+Yzebp06dHRkaGh4fTaDQ/P79///vfdXV1YFaBGsCxsbFWqzUzM1Mmk3l7" + "e//rX/8qKCjYu3evQCCAM4hEoqFDhw4bNgwGDJfLBS0z9d5hN4UQkkgkvRGD/6mA9nR0dOh0ugdu" + "+EEIKZVKhBCNRoPwOqvVKhAInn766cjIyO+//76kpKSpqeny5cvPP/+8p6dnQ0NDcHCwHcUhSfKd" + "d97BEmk7wIZh4MCBu3btkkql96uOkAP3Fw7200fAi5zFYsEFCOGrtLS0vXv3VlZWQvAkvGMBAQFJ" + "SUnYA0Wj0cLDwyE1rYuLy7Rp0/Ly8kaOHMnn8318fMLDw0UiUWVlJZfLvXz58rVr15hMppub28yZ" + "M8eOHQsnlEgkY8eODQ8Ph0CVUaNGFRcXb9y4ETKVjRw5csiQIbi1NBotKChIKpVCuSKEUEFBAY1G" + "8/X17TkCnMFgXLhwgclkrl69Ojk5WSgUlpaWfvrpp2VlZYmJieCew3oLhJBSqTSZTAaDoaamBsL1" + "p02bptfrf/jhh+3bt8Ne/x6S/YON4ciRI998801zc3NwcDCs92q1+uTJkxKJ5JlnngG7dEZGxpw5" + "c6gRRnRbPVSz2Xzy5Mn8/Hw8wcGmlsPhKBQKsEthAwZmrnBpvBgzmUxYopCNiECZT6i4hCs9wZmd" + "nJwwUWCz2dhsQzX8gPEGx/gA+8GmIzxHE7aqcAghiGQhbXk1oTFAU2Dqt7MeYfYDZhtkY+SQuaek" + "pESv1//vf/9zcXGBmCYWi2U0GiFOkEqazWYzj8czm82w5kE+HtAmQ+IZuBeIWicIAvykOp1Or9dD" + "83Q63fXr11tbW6HxCoXi/PnzeXl5XC7Xw8PD09PTYrE0NDRgYxXmWwghvV5fV1fHZDLLy8uVSiWM" + "c7gvHo83f/788vLynJycKVOmINsGA8dhIZvzyNvbG1rC4/Gg6ip0MuQjRQhJpdI5c+bAz6EBcDZ4" + "Se08yODj4/P5sbGxmPnNnj0by5CRzexXWVmZnZ09e/ZsyHvk7e195coV7D52cXFZvXo1k8lsa2s7" + "efLk0aNHQ0JC4uLiqBdSq9XwyK5du7Zz507I1EWn07VaLTQD7GRMJhOYNOyjDAYDJHWEWDOLxSIQ" + "CKheYBCMw7AHZzqwcBjSLBYLEoTCqIPe6OrqksvlxcXFSqUyMzMzISEBzHWQTRQKnoAbF+u7wVmJ" + "KGnSIBgQWJTZbDYYDNBs7AkFmRSXy9XpdDDw8KgGdQFsVyAheG5uLlwO26jghU1JSYmKirpy5UpG" + "RsapU6eUSqVCoaDRaEqlsqKiIiwsDO4dNxXdpqourqzs4eEBD/qBEz4HusPBfvoI8MJD+ixwyeO9" + "8tSpUzMyMgoKCmA7CO/JqFGjcLodOENkZKSbmxvsRCElrqenJ0mSERERa9askUqlS5cunTJlCkwQ" + "NBpNIBDIZDLYQxME4ebmNnv2bIlEAtPKgAEDNm3aVFVV1dDQ4ObmFh8fTw3IFIlEL774IjZ3q1Sq" + "qqqqgQMH3jIjKhUkSXZ1dWk0muvXr8fFxclksvj4+E2bNmHzgEqlqq2tdXNzg/M0NjbS6XSxWCyV" + "SlNSUpYtW+bk5ATT0Llz5xQKhZeX1z1MHDA1x8TENDc3Z2VlAQ+AebOlpSU0NDQhIUEkEg0ePDgr" + "K6uhoYGqScQzl9lsBlUEsi3k2B4DGizwKcCvwAujUqkMBgM2n8At4104snk9wCyh0+ngDLDQAivV" + "aDRarZbBYHA4HLC1kBTlE5zTZDIRNrUNtinSaLTuEcW4tTAkQLgDPhr8QzgPaRN1UcVhmJ0AQTGZ" + "TBABhBAqLCwUi8VarRbWD2zRgasolUp8ObB+4cPgWpilYVsRnVJGFHpVq9UymUyNRgOLByaCJEnq" + "9XqlUnn9+nU4Es4APAyPFoPBUF9fr9frq6ur6XR6v379li9fPmrUKLhKUFDQyy+/jA1g1O7CvYcV" + "Wr0cdcTvA6+wb7T7Yfj8WM9kd0xjY2NSUtLkyZPptpLsmEBjwv3rr79+88035eXlPj4+L730EtU5" + "BcfD821sbPz000+BAeO+xY8DGoBlZNiABMs88FTsVcTUFncUdoxi/yaMAVARgbsHcm1A0Ptnn30G" + "7QTKAiQM0l4Dh4arQ3AG7iLoH2izwWBANgmOwWCgGlOpJJgauA6bLrCnwshvbW2FH8I4xJ18/Phx" + "X19fyOIzcuTI77777sKFC0ajsba29q233lqyZElaWhr0WM/16u0e6N1u3hzoGzjYTx8BdjNWq5XH" + "40E2ETyh8/n8J598Misra9q0aXgKk0gkoGbFbzUkQIMXCZQ6MLsxmUzYYfj5+UFtQqwygTNgIQvk" + "hYPzW61WHx8fmUwGjbFTrrDZbMh/CgttYWHhjRs33nnnHXSbvQ6G1WodNWrUsWPHdu/e/d1333l4" + "eIwcOXLOnDmQTZFGozU3N69cuRJnzOvs7ISQXYlE8vrrr8O01dLSsnv37n79+gUGBuJp6656G5bq" + "/v37x8XFSSSSjIwMXGGNw+Fcv34dDE4NDQ0NDQ1NTU34hzAjQ7c7OzuvX79+wIABsPyDmQRbVvD9" + "4t9iewn1EzD14UXRTlFBUmp9U//GKgE7zTX16vh4vBRRc7LB/WL9DRxptVrBTwruHsKmyIY2g5ML" + "xhWcATI/gcqHw+EYjcaOjo4tW7a0tbVt3rx5yJAhYO/BFIRuA3aKgQpeIBBgpxjuMZpNjg1rMJV5" + "kCSpVCpbW1s5HM7x48c/+eQTiBiiWrOwY1Gj0cC6CH5J3FcsFis+Pn7YsGHJycnXrl3bsmXLwYMH" + "k5KS8CsWHBzM4/EetpUJ3sTU1NQhQ4bAGg+BbEwm8/r16yCdYTAYR48eff/99+Vy+bRp01atWgV1" + "GPBJgAsihIxGo0wmGzlyZGBgoEgk0mq1fD7faDTipEQwNnC6Ra1Wa7VaxWKxSqUC8yRwepVKJRQK" + "NRpNV1cXJusQksbhcKRSqdVqbWtrs1gsTk5OPB6vra0N0hG1tLQoFAqQGxIEIZPJXFxcwKcvFApB" + "R9jS0gInb2xsBMOqQCCQSqUKhaKzsxNqZQD30mg0dDpdJpOZTCaFQkEQhFgsdnZ2hnzfEokECpLA" + "qIDNAFhG8VvAYDAgk7VcLqd2O/CnPXv2TJkyJSIiwtXVdcyYMcOGDfvhhx8+++wzuVyel5dXXFw8" + "bNiwNWvW+Pj49PGocODPgIP99BFwuAcEDMNqhBCCnfHQoUPFYrFOp8NTM16WCFtqRLy4grWA6hlB" + "Nk8zQZGJIFvdGfgXXxEA6yJYs2k2gSr+FhYkqy3RhUAgWLlypb+//x1ZCI1GCw0N3bp1a0ZGRklJ" + "iVwu37Nnz48//vj000/PnTsXrujq6urr6wsbr5aWlps3b6pUKmBaLS0tv/32W3p6OoPB2Lx5M9gh" + "7PQcvQGW1iKEwH6gVqvBnTR48OBt27YtXbrUw8OjvLy8o6OjoaGB+lvc1QghFxcXsJSA1Z3aP90v" + "SmUS+AzABshuIV2kLQgZiBH8EHaxMEhIW5XK7lfB+28cZgXMALNefO+YYQN1o9FoPB5PIBDg9pA2" + "ITZCCNx/+BYYDAYIWql812q1xsXFlZSUBAQEAKUmbC42fMskSULINDgsSJuGDFuG7HoDEyPqgxYI" + "BMCZIiIixGIxOFwgAguCsEJCQoKDg4VC4cqVK1UqFUmSWq2WqrwWCAT/+c9/JBIJSZIuLi5paWlZ" + "WVn4FQN+gL2cdxpQfQqwIeH8QEwmU6fTabVaSB1Ep9PLy8u/+uqr1tbWlStXTpo0iclkKpVKZ2dn" + "6o1AP3C53LFjx27YsIHqvYWuxt1Os8nwqaIxgiBwkBphi5GEBwSEDCFE9ZniA6A/8ZFwwqqqqjVr" + "1uj1+l27duFBBdQKD3VsDsfGJDzvUf/Af1NDHbGKDvgN1awI38LLhWzGs507d7733nuYGymVyoaG" + "hs7OzoaGhhs3bty4ccPT05PJZLLZ7EWLFqWkpHzxxRe5ublyuTwzM/PChQsjRoyYN28eqAioT+3P" + "GhAO/DlwsJ8+Aky1sD3FFldYdWBjl5qaSj2eIAiY+6gvlZ0tnbBl7kF3stLjs2Fg++0tj7czyMfH" + "x/fyNs1mc2FhIZ/Pf/XVVzs7O2/cuFFUVHTgwIG9e/dOnz4d/HGvvPJKcnIyTKx5eXkrV65samoi" + "SbKwsPCrr76CtNfTpk3z9/e3M7T0HlQq4OTkhGtWsNnsCRMmMBiMixcvKpVKV1dXjUYTHh6Ofwg0" + "EZMbPp9/S9XR3Tap+/F2Thb8X5jEez5V97PdzoFC/dDO7NTLhqFuI7CjowNORXUYdT8en4egKJBu" + "d3wP/SmVSiEbVmhoaHBwcFRUlL+/P9g4EUIQmQWZ9GApxd6ZzMzMoqKiuXPn+vv7a7VauVzu4+OD" + "C+TdslseBuDeuGUBKYIgzGZzZWXljRs3LBbLyZMnCwsLeTyeRCJZs2YNrlABfB0hRKfTwXdM7eTu" + "f+D3hQpqkLbd9EKdcwDQjd2fNcDZ2dnPz6+hoYFqfsb3iMkKbjz1WnbGVPx5dyej3YfUd8ruRiD8" + "k7QF92VmZkK0V3t7++HDh2/cuPHEE09A3WiEUHBw8L/+9a/c3NzDhw/n5eUpFIrDhw+Xl5dPmzZt" + "ypQpIFaz608HHgk42E8fAfY64KhGd5rxH12QJLlv3z6LxfLKK694enomJCQEBgZeuXLlt99+MxqN" + "HA5HKBQGBwdjjTDQQZ1OZ7Vad+7cWVpa+tprrw0aNAhcG3fr8OoOsN4jmzXLaDSeP39eKBSuX78e" + "IZSZmbljxw6qHRsoKewyNRpNW1tbb6pN/a3Qx+PW399/48aN4MEB6Ss1apqq8u7s7ARxK3yuVquP" + "Hj1aVFTUr18/o9FYXFy8YMGCR7TaAJfLnThxolqtdnZ2ZjAYLi4u4eHhOp3OaDS2tLRg0Rg+Hie5" + "AAXxLU2VfQmgbr1pBklRLCEb7bvvQw6cubg94eHhs2fPbmlp+e6776A4dGhoKLU/uVxuampqdHR0" + "YWEhJFS7evXqp59+mp+fv2TJkrCwMGwku7/tdOBPhYP99BHA840QUiqVarWazWbjcoN/JUCYzPff" + "f//mm2+OHz+eJMn8/Py8vLy4uDihUGg2m8FJQdjiJqy2DJC//vrrpUuXGAxGdnZ2dXW1WCwOCQmJ" + "jY39g+0BMzgUdwQrd2lp6ZEjR+bMmSOVSvfv3x8TE9O9mBdhS7sHU+Rf7zHdMyC/DiwefXNFNpsN" + "keogiaVGdaHfu9tcXV1ByIIQslgsEyZMuHnzZnp6ellZGZvNnjp16syZMx/R2GMajTZ27Fgsz0pI" + "SNi3b5/BYIBdhMlkArsmPt5oNHZ2doLUHSJAH1jTEUIIgXy+5/RdhE0kd/To0evXrwMBkkgkgYGB" + "AwYMAGvN/QKEJmCnZ2hoaGhoqMViOXXq1MiRIwcNGmRnggXZn0wmGz58+ODBg99+++309PSurq6M" + "jIwLFy6MHz9+xYoVkFvkPjbSgT8bDvbTRyBJEgIZcL6Wv+RGgUajzZs3T6VSFRYWbt26lclk8ni8" + "oUOHrlq1ik6nBwQEjB07FqfPQQhxudzExEQIufL29tZoNIWFhZcvX4aKY0FBQSAcuee+otFovr6+" + "iYmJMpkM+nzUqFFXr179+eefCYIICwt7+umnqfYAMPmAgAAh5OLi4pjRqGAymTKZrLOzs+cnQhUn" + "gbPmlg7E3oCwBVJ1T6CHENLpdPC8QMOBXTx0Ot3JyWndunULFy5saWmRSqW9Ua09tKA6weH1QRTP" + "VHdKR7dlV0c2Z9AfeYn+OIxGIziae+ZhdDo9Ly/v3XffVavVfD6fy+WCvkcmk61atWro0KFgEobH" + "Tc0tfrfo6uqi0+mQ24ka/hYcHOzl5dW9kdRPeDzeyy+/nPJ/7L13fBTV/j4+M9tretmE9JCQHlII" + "gogUIx0pIgKCYleuXhveq6hcvSJyFbE3kC/ihyaCIIggTXpJgABJICQkJCG9be87vz+e357XuAkh" + "ElLAff7gFXZnZ86cOXPOc97leQ8eDGWgpqamdevWHT58eNasWcOGDQsICCCRbQhXvy3n+dsDbvbT" + "TSAFmWGmJgHFtxkcDkdISMi//vUvRBHSNB0YGKhSqYRCIcuyGRkZSUlJ3HiCuLi4xYsXQ1wkKioK" + "FnuhUIgkFBIqfsNbdpqmExISYmNjodvL4/HS0tKWLFlSV1dntVr79Onj5eXlsiqTuB/kx/bsstE7" + "QQSvO4Iucl4AUDHAySFlxOOo0gkEAiRCYivv4IhG3t6gnbVRIc1A9bRTBvZdvHTtHAbmyjCMn5/f" + "448/7ufnJxQKq6qq1q9f/9NPP6Wnp8NeDnf2dSvatgOkF0gkEu67z7LsSy+9RGKnrnUjNE1LpdJR" + "o0alp6fv2rVr+/bthYWFpaWl77///okTJ+6///709HRU0rixtrnRbbgNF+DeCWjcURQFxwGfz+/M" + "ot5rgUVRoVDExMQ4nDqN0IzBdIwsM3I8ZmeGYfh8fmRkJPmcBHMgZ+2G20OWARL/6HA4/P39oYTU" + "ZjgzqcbA/aEbAFwY1zXkIAMrLy9PrVb7+/tHR0dfq1RCJ4FSKgaDAVv5aw0VuneUl+o2mM1mi8WC" + "Cac3sB+oILb5xrkAzygwMHD69OnwVms0mqNHj6IOD8uyV65c2bBhQ2pqKresxw20x4U5gZ8FBQW1" + "P9tAbgDZbV5eXtOnTx88ePD+/ftXrFjR0NCwZ8+ewsLCrKysOXPmREVF/X3G2y0KN/vpJhiNRoqi" + "HA4HqlWQDMzbDOSmuFkk5A+XXCGqLaN9a3RmBqFbJbtdN9kHRA3LPO2sk3rDDbjN4HCi/WVMq9V+" + "8MEH27ZtQxBrZmbmq6++GhMTs2XLloqKimeffRaFRCorK5cuXTpz5szExETEpH/33XeZmZmZmZkd" + "7HOao4IIFxt39XLJkfz7gKZpSOPQNB0aGko7k8a5xyBLDl+VlpaWlZWFhYWFhIRAgwc92dTUlJOT" + "w7Jsv379goODiZoDV5wayfkoEY9Io9YzG2xvnp6e1+UWEPKorq5ev359QECAQqE4cuTI0aNH77nn" + "HpFIVFdX9/zzz5eWlqIk7Q33D8SNuGOszay31iCTCZlYIiMjw8LCsrOz33777UOHDlVWVlZUVJw8" + "efKJJ54YM2YM7oj8hHIKC/E4tZbh3aOcveQmTN0GN/vpJiBdxWq1SiQS7GluS/ZzG4C4dYguZU+3" + "qBcBItQSiaT9tefw4cP79+9/+OGHBw8erFar165de+zYsbCwsLy8PIjWIABWo9FUVVWhmEB+fv7a" + "tWt3797N5/NRGKuDywARCHaDgM/nu9TubRMsyx49evTTTz8tLi4G6UlLS3v55ZfDw8PVavXnn3++" + "d+9eiCLa7faJEydOnz5dpVLt2LHjww8/nDJlylNPPQWt57Nnzy5evHjgwIEvvvhim1wT0jtqtbr9" + "Kqd8Pt9kMplMpubm5qVLl8J2hdHSv39/uVwuFAqnT5++atUqvV7fGScmyoBARvzGzkCAEDeVSvXp" + "p5/u27dv3bp1p06dKikpeeedd3bv3v3444+HhYVhH8U6qwgT/XfIGiGkGrti90arO+FmP90Es9lM" + "JHGp6wmlu9GDIHE/rSX43CAd0v7oLS8vt1qtfn5+cXFxPB7P09MTK43D4aipqWlpaYEcYmVlZUND" + "A0J0L168eO7cOYvFgupyHXQKo+QTsQC5AfB4PK4OzbVQXV29cuXK2traOXPmqFQqg8Gwa9euTz/9" + "9M0339yyZcvWrVvvvffepKQkPp9fUVGxadMmi8Xy5JNP5ubm1tTUHD16dNy4cZGRkVardf/+/YWF" + "hTKZjHVqeLpciKZpsVjc1NTUPvuBmxvV68aNG4diLxqN5sCBA+Xl5WazWSQSjRs37tSpU52cP1ER" + "5Wa92jDYCASC4cOHJyUlrVix4vvvvzcYDH/88UdZWVm/fv2Qy4bLQc6U1PHFC+Xl5RURETFjxow+" + "ffq414Vug5v9dBOwQ+XxeCKRiBR7+hva5Hs5aJo2GAy0swAW1dMBE70QYBvtD934+HibzbZixYoD" + "Bw4kJyf3798/MzOTYRiNRnPu3Lm3334bpTMuXbqkVqu9vb15PF52dnZwcPBrr73G/ll2vH2gWDd+" + "IhaL3VtnAhJfjNombbLJs2fPFhYWzps374EHHoAdIikp6cyZM01NTcePH8/Kyvr3v/+NpEtUwNi2" + "bdvQoUMFAoHdbi8pKTl//nxoaKhOpztx4gR2d9yiLlywLIunc10lT5gVY2Ji5s+fj08aGhoaGxub" + "mppgBELgs9ls7mTUMzxxnR8wcCBCxJzH49XX15eUlMBdbjabId4hEomQmUhRFGgQqWJkMpm0Wq1O" + "pyssLBw4cGCfPn062R43Og43++kmoKiNwWCAvt9N3Hm4cXPBOuulO5yVO3u6Rb0LXl5eJpPJy8ur" + "nWPS09MXLlz4/fffnzhxYvfu3Z6ennPmzHnsscdMJpNerz937hxS8HQ6HdYwhmGUSmVKSoqXlxcq" + "pHawMSjnBCeI3W43Go23qJ7hzQX0fiCxQYJ7Wsf9aLVamUw2bNgwklGfnp6enp5+9uzZpqamp556" + "SqlUIshdLBZPnDjxp59+unLlSmlpqcPhaGpq2rlz56BBg1BuFtYmorfkAqz07c94CBFTKpVSqRRb" + "RFS98PLy8vX1RVUvyhmwLJfLOxP3g7qnLjlfNwZSdMhoNK5ater//b//19TUhHjn6OjoBx98cObM" + "maRYLPVn6QHWWe1x6tSpFRUVer3evdfqTrjZTzcB0STYNLtIubvRewDbO+2sp4a12f2kuEBspkvQ" + "qAvOnz8fEhKycuXKioqKy5cvr169esuWLaNGjeLxeJmZmYsWLVKpVBCX++ijj1A6g+gDceu0Xxco" + "aQlDHUr23pybvMUBLogqbAaDAWlKrQ+D0cLlk6qqKoPBgGRM4uhkGMZgMIAJKRQKiUQSGxubl5d3" + "4cKFP/74A3xFq9USK0jra3VE7ZDP50skEhAFCKTBoBIaGnrq1CmSxRYQECCRSDpj+0HxYJPJ1Pkt" + "qN1ub2hoyMvLW7NmTU5ODrhmcHDwyJEjZ8yYERISQuKpyQgnxWspp85QbGxsVVWVe6PVzXCzn24C" + "xEiwv0FmhHus90IQOUoYfqDP1NON6l1oamrSarVNTU2BgYHXOmb58uUURc2bNw+FSM+dO7d582ad" + "TqfT6Xx9fVFhnsfj+fn5yWQyxOc6HA4U30Zx8g4CCzxFUTRNV1RUdCSn+lYEqSHKZQ/ceqIuydVI" + "wgJXaGxsxGLf2vbjcDi0Wm11dXVQUBA+/O233zZt2jRgwACr1XrkyJEBAwYgk46m6a1bt8JbpNfr" + "xWLxPffcs2nTpnfffVer1U6ePPnq1as1NTUuxYC5Te1INVmapr29vZ9++mlPT0/QApqmRSLR+PHj" + "U1NT5XI5aMS4ceM66bEC80AR+L/0Q+5dQGDzxIkTmzdv3rNnj06ng8bmnXfeOXXq1NTUVFjCWGch" + "YRdPOil5xDAMcmJuG5yOKgAAIABJREFUPwGUXg43++kmkHkZOxj3QO+1INSng0mwfytgx6zVatvP" + "tBo2bNinn3762muvBQYGajSahoaGwYMHh4aGok47XF0URcFpRcSLKYoSi8VKpbLjEVfQc8ICU1dX" + "15oi3B6AnYOrGwm7CL7FLRsMBkIcuVyH5Fe7nJNhmNjYWIlE8vnnn7/yyivBwcEnTpz48ssvjUbj" + "M888U1JSsnXr1rCwsJSUFKlUevz48W3btsXGxvbr18/b21ssFqelpZ05c2bfvn2BgYHDhg376aef" + "TCYTgo1avzWIdGlf6hAQCoUTJkwAaSBe0fDw8PDwcHJMRETEDfShS3vaj7++FsiDcDgcpaWly5cv" + "P3bsWFNTk16vl8vlmZmZ06dPT0tL8/X1xfHcbid/E75O/oU34HYdvb0WbvbTTTCZTNB3lkgkIpEI" + "knpuDtTbANIDvROhUEhKgrsBsCzr4+NTWVnZ/hw9fvx4pVK5bt266urq0NDQ5557LiUlRalUvvLK" + "K5D6hNEiJibmtdde8/X1Rbf7+vq++OKLSUlJVIf9wmCo8CbI5fLbdV9B0zTMkKRbkGpOqlg4HA6u" + "zQwZ1BRF2Ww2lGYTiUQupIRl2fj4+Pvvv/+bb7554IEH+Hy+2WwOCgpavHhxampqZGTkI4888sYb" + "b0BZR6/XBwYGzp07t0+fPvHx8adPn05ISLjjjjvOnDkzZMiQxMTEHTt2II+vzXAcZHvU19d3UJ7A" + "arVCUqiLqMB1w/avBdSbM5lMO3fuXLp0aW1tLYhmTEzMm2++OWjQIOqGQhpqamosFos77qeb4WY/" + "3QS73Y5iF1hQb8syF5RzG9qmN527reFaj7k2YfJDEm3QmZbgirSzCmbrRrZuDzfM2e3zag2E1yA/" + "qJ3DxGLxmDFjRo8ezTAMll6k+EZGRnLtEAqFIiUlBasyIn6GDh3KVS8k/5KH5fLgLBaLxWKBZ8fb" + "25sbWEpaaLVayet2K/rFWJZtaGjYvn27RCKZOnUqqUuF2hH79+8fOHBgaGgo9WejC8iQSCTy9fVt" + "MxyKYRgPD48nnngiISHh119/1el0qampkyZNUigUAoHAw8Pj888/379//5kzZxiGycrKGj58uEKh" + "sNvt48aNGzJkiEKhmDx5skqlglLl9OnTXfgZF5j92owyhlmourraz88P7cSzq6mp2bdv3z333MNN" + "g2JZtr6+Xq/XBwcHtxkfRryBmFWuJVXKOutwwcqr0WhQ95RyWhNlMplLXTmc1mg0njlzZvny5ceP" + "H7darR4eHmFhYZMmTZoyZQpqkOHgv2ozhvZPm5Xs3Og63J5rcC8EovmsVqvFYrkVp+AOgoRJ2my2" + "2tpam80WEBBA5mvY7bHRJ8djDkJFLSjMErv0DXcUbOzYiSJUk+KU3iSfky0gd7bC1SHg4c7Law2N" + "RoMA2HaO4RJHLGkwyXD7mW4lw43FrPXDIsooJFkSpJZb2ZSiKBLbyzpRWVl54MCBq1evKpXK9PT0" + "+Ph4uVx+C22vWZZtbGzcs2fPkSNHjh07NmjQoAkTJuBtKi8vP3jw4MGDBy9evOjv7x8REeHiZCFm" + "Iazrbd41og/vvvvuu+66y2w2Ix0VXwmFwrCwsDlz5jz00EMuZhiJRALPjoeHx6hRo/BhVFRU+/di" + "t9t9fX1b7yhYls3Nzf3666/vuOOORx55hGVZ6C7u27fvyy+/LCsre+utt8jBGo1myZIlZWVlzz77" + "7JAhQ1qfjbzsGDzcd9zlMFjfbTZbfX39l19+ickKtEkikYSHhz/66KNgkIh8Ylk2Pz9/586dv/32" + "W3V1NUVRsbGxY8eOHTp0aFRUFARsb9hRzrKsXC7nuoDd6Aa42U83wWg0YiNye6elYNHKycnZtGlT" + "YWEhTdP9+vWbMGHCwIEDKYqqqKg4c+ZMdnY210q/cePGuLi45ORkhDKYzebi4uKIiIhOep0Yhqmq" + "qtq5c+fp06c9PT1HjRo1YMAARGVWVlbu27evqqqqX79+Q4YM8fLyutYGsXWs6N8cSM66lnnvJoJs" + "xMvLy+FBi42NzczMjI2NRU4QIUCkdqlCocCOH2uYRqP5+uuvDx48KJPJHA7HoUOH/vWvf8GtdksA" + "r1Jubu5nn32GyGWu22j79u2rV69uaGjw8fFp5ww2m81kMl0r9IcAakltHoBUrE6+BTCoqNXq1lHG" + "Wq128+bNJ06cKC0tvfvuuyMiImBiyc3NbWpq2rdv3wsvvEDy26urq/fs2WM2mz/99NNhw4a1ectw" + "W5tMJj6fjxiD1uwHdwSaJRaLw8LCJBIJUsBkMpm3t3dQUBDmBAx4g8Gwffv2devWXbp0CdlwQ4YM" + "efzxx/v160dEjDpjKqbdcp09ATf76SbgtWydYnqbweFwlJeXv/rqqy0tLZA42rdv3759+7766qvE" + "xMTTp09/8sknmZmZhP00NTUtW7Zs1qxZSUlJCGU4ePDghx9++NZbb2VkZNzwXorP59fV1S1atGjf" + "vn3o8O3bt8+fP3/q1KnFxcWvv/56YWGhVCq1Wq3jx49/5ZVX2rQ5t7S0YHXpikd2i5Iqm81mMBhg" + "wuzSC5Gw6EOHDq1cuZJl2V9//VUgEPTp02fkyJEjR46EirTVaiWJxHa7HXLAyKk8fvz47t27582b" + "N3HixIaGhjfeeOPcuXOJiYm3UM87HI5Bgwa99NJLGzZsOHXqFNdUNmrUqIaGht27d7cZSky8vbDu" + "XJe+wP3UZmL8Tekuh8NhNpvb/KqwsPDEiRN6vV4oFB4/fjwyMpJhGLVanZub63A46uvr8/LysrKy" + "ENt+9OhRrVbrcDhKSko0Go2Hh4fL2QQCwa+//rp06VKNRuPj4/P000+PGTOm9UXhoYOZWSaTzZw5" + "k0h8Yc6BJYyiKJ1Od+rUqWXLluXn58MuFR4e/tprr2VlZdHOIoD4FyVBbqx/fH19UVP5xn7uxo3B" + "3d1dCxLXAv8OZDNYp8jV7ceEGIbZu3dvY2PjrFmzHn30US8vrzNnzixdunTVqlVLly5FZJ9OpyOW" + "A6PRaLfbNRoNlrHnn38+JycHoZqdmQvMZnN+fv758+eHDBkyd+7c2trab775ZtmyZSNGjPj111/L" + "y8ufeeaZ7Ozs48ePf//994WFhQhXRPvhKWBZtqWlZezYsZ6enoiEgDCrxWIRi8VCoTAwMJDP52s0" + "GqVSiVAYu92OnCbiFTKZTDweD2XtsSWFMBpiVLH1xKyH3BawCpKfLBKJpFIpNrIikQiBBSh2Dc0V" + "ZDULhUK5XI6cGplMZjAYWlpaDAaDn58fKiuR7SlK7QqFQphM4ISFdAqapFarsWNWKpUOhwPCgzwe" + "T6/X4zxqtXrbtm0Gg+Hzzz/PysoSCAQmk4mmaVgOBAIB+sdqtRqNRrLG4N6hb4vQNz6fj7J3er0e" + "0SQIfdBoNCTQx2Kx1NbW7tu3j3UqT5rN5tLS0m+//XbNmjWRkZF9+/bVaDRoAMKxsf/GgvTHH394" + "e3t7enpeuHCBYZgFCxZ4e3vfQtQH/SkQCO67776EhIQZM2ZwJf4iIiLefPPN6OjoTz75pPVMYrFY" + "Wlpa8OGFCxdOnz4NWQF0rEAg4PP5GK4YaVwnL3FeA6SqOfLD8UAxYOCRxNjGoIUvEg+XfOJwOKqr" + "q2tra61Wa35+fkhICMYSXrEdO3bU1tYyDKPX60+dOjVhwgSFQvHjjz82NjZiZG7dujUtLQ0lwH75" + "5ReYz81m88aNG2fNmsXn87VabV1dncFgMJvNhw4d+u6772DFaW5ufv/99zUaTVZWFpx6uDWj0Vhc" + "XIyUNw8PD5Laye0BPp/f2NiYk5OzZs2aU6dO4c3t06fPXXfd9dhjj/n6+rYeSGKxGP1D5i7Y3vDa" + "cif81r/FtHBTho0bHYeb/XQtaGddX3i+KIoym81EyqKnW3fzYbfbm5ubod1SVFSUlJSUmJj44osv" + "Go1GrH9mszknJwcRizRNl5SUWCwWuVyOFS4tLU2v1xcUFCBF7oZtPwKBQCKRJCUl/eMf/4iKirLZ" + "bEeOHNmxY0dubu7ly5cTEhKmT5/u5eUllUp///33oqIiwn4oisKjARch6wTLshKJRC6Xg3CYTKbS" + "0lLMm1evXr18+TLCe0F3yBmkUqnZbObKinCdNYwTKP2D5R87da1WS3btKCBAO4EfikQiLEKYakGv" + "0U4cb7VaFQoFSoq6XB3TNNns4ueIqkH7wYTwLS6B9QC2Fp1OxzDM77//fujQIRA73CwsLi7KLiD6" + "OKeLJ5H8CksF0f5nnQHvODPXZkA8bkaj8dy5c+fOnSMBzgzDoGHE93Hw4MGWlpb33nsPfHTo0KFP" + "PPHEjQ2nHgeUY0itKC7adM5ijcff586dg/MIj4aIDjgcDpFIhPUYRgsMDwwG7oPA2wrFMhwPNxy0" + "pMlDIdWsQDXwFBBhA40oq9X65ptvent722w2yFRWVVVVV1fb7fa0tLSrV6+ePHny2LFj8fHxGzdu" + "lMvlM2fO3L1797Fjxw4fPjxixIhDhw4VFRWFhYWNHDly3bp1P/30U1ZWlkql+u677w4fPtzQ0GAw" + "GIxGI5k6LBZLXV3dRx99pFKpEHaJD7VabVlZGQY5d4bBpgUD6eTJk9u3b8dpKYqSSqUPPPDA+PHj" + "YXHkSg+4dDv5g8SiYUCCLOKP1tOa3W6XSqXuDNNuhpv9dAfIXodhGBgJblchGT6ff8cdd2zatGnH" + "jh3Hjh0LDw+Pjo4ePnw43FhIFv3888/Xrl0LowKME5hGRSLR7Nmz+/Xr9/7773cyb5mm6eTk5Ndf" + "f93Pz4+iqCNHjiD6JzAwsKmpKTw8HOf38PDw9/evr68nPwQbwNIbEBDw3nvvqVQqnhOUswYqmcsQ" + "EYmvMPuTDCbaKUUD3wSUQkApSEw3CcnEIkE24ugQh1MTFmcg3xImRDl33mTFQnvAtxBhptPpiKat" + "RCKxWCxgRUjAYZ3a1rgvtVqNJQETMcxysK/4+voqlcr6+vrvv/++trb2oYce8vf3R8EK3IJGo8E5" + "1Wq1WCwWi8UktpSYfFiWhSoMvsJ9YT3Q6XQYP4gwxR66paXl0KFDFy9eJA+IdCDtVJCjnXHQKNwN" + "qgdbhVwunzp1alJSUnl5+ebNm/Pz88PCwjozrnoKeL7XisvhZrrhQxT9IDRUp9Mh793hcMC/zDCM" + "TCZDijVN0xgYsAVyY1DIsk1RlNlsBiN3UcpBwK9QKIQr2Wg0gvrgcaOmBClGW1VVhXq3IEl6vV4k" + "EqWlpb300ku7d+/+9NNPV69erVKpGhoaMjMzp02b5ufn9/7772/fvj0tLe2HH35gWXbw4MGPPvro" + "vn37SktLv/jii+Dg4PXr18MgSlEUBg/lJCI2m02tVpMRhXcQewPaGctMbgRBgXl5ecuXL8/Ly6uv" + "ryd8qE+fPvPmzZNKpWAz19qYoWcuXLjA4/H69u1LUisMBkNlZWVQUNC1RLchzO32fHUz3N3d5cAs" + "bzAYsHW+MZWtWwUsy2ZkZPzvf//78ssvS0pKjh49euTIkZ9//vnRRx997LHHKIqiaVqtVotEIrlc" + "bjKZoKnPOqUFJRKJp6enSCTiirndWDN4PJ5KpdJoNGvWrFm1apVQKHzvvfeCg4MxL1MUxePxpFKp" + "QCBQq9XkhzDhYPb38/NDAvbt56C8YTgcDq1Wu23bttGjR4eEhHTdhcjqpVQqS0tL9Xo91gZwaD6f" + "D4IlFAobGxtR8zI4OJi4HhiG8fT09PPzmz59up+fn0ajKSoqKigoGDly5K2YVwyOCzcxPgFHAeED" + "NzKbzcR4APGky5cv8/n8qKioL774ws/PD2s53LV2uz04OBhvn0AgEIvFKMFG0shxMKYs8pLCIArf" + "PfYAIpEI9lGJRILSbyisAfB4PKPRqFarL168+M4772g0mjfeeGPMmDFgWp6enhaLpb6+HrTAarV+" + "/fXXJ06cwN0NGDAgODj4vvvu+/DDD48cOfLRRx/l5+f7+vqOHj3a29t74MCBRUVFe/bsoSjKarXG" + "xMT84x//8PX1XbZs2dGjR9FjcMsmJibOnz8/IiIC8zD4x48//vjll182NTWBq5EQn+XLl2/atKmy" + "shLkrF+/fkql8uzZswqFQqlUEs59rakJ53nnnXd0Ot1XX33Vp08f2NgOHDjwySefvP322+np6W2y" + "WKFQqFaribnOje6Bm/10LWAYwAaIciZQEHXanm7dzYfD4airqwsMDFyxYkVLS0txcfH+/ft37dq1" + "cuXKKVOmyGQyoVC4cOHCiRMnohPOnTv36KOPcoVAEBTSyaBarIK7d+9etmxZc3NzZmbm5MmTMzIy" + "UGm5trYW59fpdAaDITExkfyQ5WTjk3DazrTk9gNct91A4sFywsLCFAoFTdNCodDDw0OlUkVGRg4d" + "OlSlUqlUqqqqqgkTJriEU2CFS05OLi8vb2ho8Pb2NpvNDQ0N8P50dbO7AvAlQXgQn+ARyOVyFAR1" + "kXkUCoU+Pj7wqA4bNiw8PJxMOCzLSqVSBFohGAiQSqUo7EXOjz9omkZ+O7E/kfMQwxs3p0wikZAH" + "YbPZ5HK5XC5XKpWJiYklJSWDBg0CMSI6CKGhoXh8YWFhAwcORJhXSEjIyJEjKYpSKBTjxo1bt27d" + "hg0beDxeVlZW//797Xb7Qw89tHnzZpQ+lUql77zzTmpqqt1uf+ONN1544QVUWacoKiYm5rnnnhsw" + "YAAJy0PDwsPD4cujKIrH49XW1u7atWv58uU1NTU0TXt4eCgUijFjxsyZM0en02HbRnUgqwsvRf/+" + "/Tdt2rR79+7Zs2cjqf7kyZMOh6Od+u3wRd6WQp29GW7207XAi42wVsws8FzcruzHbrf/+OOPRUVF" + "zz77bFxcnK+vb0pKitFo/Pnnn+FtkcvlqampJFYgJiaGx+NptVr2z9qDnewclmXLysq+++676urq" + "p5566sEHH8REbzKZIiMjIU7v6+tbXV1dXV2NeZaAeL5IqEpnWnL7AS6VjlQt6CTghUlOTp49e3ZD" + "Q0N0dHRUVFRkZKRSqSQMtaamRiQSIb2Z67WBi2Tv3r0ff/xxfHx8Q0NDbW3tAw88cCsafiiKkkql" + "kydPTk9P57ZfIBAkJSWNHj0aFk2XkCBiqMCSTBxk2BgQBkN6jP6zigHZjbBO4SXyX+4fxBbSOmia" + "diZV4WxgVwhlgw2GXBHNkMvl9957b05Ojslkio+Ph4CQ3W6fMWPGwYMHq6qqlErlQw89BJoVEREx" + "duzY9evX83i8xMTElJQUdEi/fv3mz5+/atWqkydPCgSCl156afDgwYRVuHC7ioqK3377jWGYPXv2" + "nDp1Cn7AuLi4oUOH3nHHHSkpKaQctVQqpTuWp+JwOKZMmbJp06b8/HxkganV6ry8vCFDhsB61Kbd" + "CFZMt7xqN8PNfroWiCNB9CiJ+2k9Vd02gDvpxIkTTU1NGRkZfn5+5eXlJ06ciI2N9fT0JNEzZBJp" + "rSiIWcZsNncmOorH4124cKG4uNhqtR49erSsrIzP54eHh8+aNSs5Ofm333579913k5KSLl26ZDQa" + "uRowJN6TcVYddxMgLhCedd3RC98TzalkxF0USZwyKXPdet6nnXp9QUFBc+fOhZOl9YOgnQKJMDpS" + "HOo8dOjQvLy8ffv25eXlBQcHz5kzBzU7b0Y3dDc8PDyeffZZbtQI/khISIiIiED0FffWWJbV6/V4" + "feRyOfK28BUJGiMD+7pvGZcJkQ9depK7dXH5OT4h0Ta0Mw3Q5TA+n5+cnNy3b99z587BNoxjQkND" + "s7OzV69enZqaiieIKWLatGk7d+708PB4+OGHyfix2WzDhg2TSCTV1dVWq/Xee++lnPWzuDrUyGGs" + "ra1dtmwZRVHNzc0Mw/j4+EyfPv3ee++NjIwUCoWsUy2JZVmUDaGcsfmwEDs4pdopp3QkwzB9+/aN" + "jo6+fPlyRUVF3759CwoKamtrU1NTkUnQ5gi0WCxCodAd99PNcHd31wKvJan/R/25uN3tB4ZhHnzw" + "watXr+7cubOgoIBlWbFYnJCQ8Pzzz8tkMolEEhoayr13h8MRFxcXEBBAJlapVKpSqXx9fTvDfkhe" + "FczOmEkTEhLmzp17zz33XL58ee3atefOnVMoFE8//bRL/Ap3g0gW7Btrxu0H+E2uS9/VavXXX3+t" + "1Wp9fX3lcrlUKoVqAEVRQqEQXg/UE1AqlYGBge3seulWktBckBFC03RRURGMQDibp6fnggULXnjh" + "hZaWFqgM37qJlghS5n5CiEubAsGQM8AxMBe1Zicd7AruYTfQe4T7mkymlpYWFw13lxMipVylUo0Y" + "MYIoMojF4ilTpgiFwnHjxpFxQtN0bGzshx9+6O3tHRMTQ84D/uHp6SkQCAICAijnDOxyIY1GIxKJ" + "zGZzfX09QpfGjh37yiuv+Pn5cZO5kAVJjGdIAkXyGvxoBoNBqVTKZDIi2YAj77777q+++qqoqCg2" + "Nnbnzp3R0dEpKSntmLRJoqJ7r9WdcLOfrgUWCdh+erot3QGapmUy2RtvvHH//feXlJQwDBMaGhoV" + "FQV5w6FDhw4ZMoTLaRiG+fLLL7nLUlBQ0JIlSzqfE5ednZ2SkqLVaiGow+PxgoKCkGr7z3/+c8KE" + "CQ0NDbGxsd7e3qhPxL0F2pn628k23JbAlrf9BwTZAo1GA9seSZ8G4P7A3yzLPvTQQ5MmTepkq+x2" + "e1BQkItjy2azyWQyaD0T49PfARB+7FVLKY/Hu+4cKBaLn3nmGcZZD4emaVitoqOj58+fTzktiBh7" + "YrH4rrvuov+skoz7hYkd+fltdgLceQzDSCSS4ODgadOmTZs2DbpTXGZG0zSkOqqrq2HF37179wcf" + "fICcLxj1pVLpxIkTJ0+ezOXod9111+rVq/fs2RMWFnbgwIFp06aBil0LeFju7Ipuhpv9dC3I60pc" + "PLc9DcLNJiUlwRnv4BRGoGnaZf9Nkqu5W1IiTXbDbcCWEcWPyORI5heHwxEbGxsbG4tLcA3ONE2T" + "Sst4du4pyQXQfWn/mMDAwA8//NBgMNDOBCJunBDtTFbHrrozuWMajYZy5swjF4m7epEYO5gE/j7s" + "h+LMPPX19dciASCFWNcpZ4oGHgpR6KA47yaRV3C5EPGmXasxeBZt1iXlHkMuR9gPmBAyp7gKES72" + "Yy4XJwEG7ZRMz87OPn36NMqnzJo1KzU1FRKgCFRy8SESaxDLsqmpqc8//7xer4dEGQRLo6KiXKJ2" + "goOD09LSjhw5otFoeDxeZmZm+zE9eKfa70M3bjrc7Kdrge0LCQzEf3u6UV0IsvaQ+ei6VpzWAQRE" + "MueGm+FiXrrWt2SnSL6CLi0Satxur9aw2+3If26fAAmFwoCAAHgKiAoi/nWJlu1ke+rq6ogGIJQh" + "uU/TZaX8+zxKxlkHDUIybYbrgn3iKZw5c2bTpk3Nzc00TY8YMWL06NEMw1RUVJjN5ujoaDwmi8VS" + "VFSkUqk8PT0NBsP58+dramoiIyPj4uIoZ+Ws9lt1rQrwBNzxgL/bn0ZwNi6xIAJFVqsVxpg2rxgZ" + "Gfnf//5Xo9F4e3v7+PiQUJ7Wx4M3BwcHgxr6+fm1WTrDBVKpdODAgX/88cfJkycTEhKwD2wHcJzd" + "3ktDL4Sb/XQtsHUgxn83u+/lYBhGLpczDAMV487oTd+WQIIeIljbOYx1ChPn5+fHxsZSFGWz2crK" + "yk6dOiWXy2NiYqKiom5Kx/r5+UkkEqShXata7d8QiHujKIpl2cDAQKYtYWKAYZi1a9cuWbIEIXo0" + "TR8/fry2tnbu3Lnff/+9VqtdtGgRelWtVi9evHjGjBmjR49+4YUXjh8/brfbxWLxP/7xjzlz5lx3" + "WrspZLeDMBqN7ZfKEQqFwcHBKGWKsdqmbQb6RpAG+EvzNsuycXFx4eHhRUVFEyZMaDNg36XBHT+5" + "GzcLbvbT5cAODIbNnm6LG9eBw+FoamoiHgEiatLT7epFgLRg+4JMcF8WFBQsX758wYIFDodj5cqV" + "GzZsEIvFSFC655575syZAyXuzgBZOVjppVKpW5oSIN5ePp9fW1tLOaO1Wh956tSpL7/8MjQ09Jln" + "nhk4cKDVal25cuWxY8fuuuuugoICRLhTTkNRQ0ODXq/Pzc0tLCycOXNmXFzc9u3bt23bNmHCBGR0" + "Xqs9NAddc8f/P+BnR5ZDO6YUYukB77lWWD15/evr60n2fgeRlJS0aNGihoYGuNXan0ZcVKfd6B64" + "2U/XAnMHyfak/hxl4kYvBOGpRKXJzX4IQGuIaeFaIG6CoUOHyuXybdu27dixIzMzc/z48R4eHsXF" + "xevXrw8KCpoxY0Yn24MwZ5Ju046R428FEjqDWiVt0g44H0tLS20220svvXTnnXciy/LJJ58sLi72" + "9/e32WxXr17dunUrCvqeO3cOWfSonT527FilUikQCD755BODweDt7X3dVnXEO9ZJ4K6RPY5k9Tbf" + "X0LU2gnHgU0I/C8gIIBbve66AJ3iyqi2D7i93J6vboZ7Je5aEG8XTKwCgcAlc9WNXgWiMkJRlIeH" + "h3spdQE8uWKxGFnr1wJi2w8cOLBmzZqYmJizZ8+GhIS88MILkZGRFEWlpaWpVCp/f//Otwd1Ot32" + "HhfQNE3KjLdZkBxgGKaxsZHH46WmphLNQ6lUmpycbLPZGhoaqqqqlixZAg3opqYmgUCgUChCQ0OD" + "goIYhjlz5sz3338fExMDMeh2ALdX93BTsBYwuc4MDEzaKpUqMTGxI7E+nQGJqu7Sq7jhAjf76VqQ" + "nQd4Pcuy3JrVbvRCkAkaSipu2w8XUBAWCoXt16OG2qFWq62srKysrCwpKYmPj1epVPBH8Hi87Ozs" + "ThYzARBI+3fL57ouSG4URVHe3t7XyvpGrVmLxXLlypWEhASSBKDVaimKkkgkSqVy7ty5SqVSo9GU" + "l5cfPnyY1Pr96quvNm3alJaW9uqrr15XQdvhcBiNRmgM3vy7/TOQRkoqjP4lm40LbDabh4fHxx9/" + "TDkDGLrIeScPPBP4AAAgAElEQVSVSt1VTrsf7u7uWjAMg3JFJF3ztgzMhAQqUkhIoAC+4ub4IJIG" + "f8BG3Qt7o76+HgZzWM7d1IcLVJuiOJElbQL7ZkTkiEQieBCQt0w5K92yLNv5uhPBwcFY4Xg8nlKp" + "vFZq998NqAKLPxB+3qYVhGXZ+Ph4lmX3798fERGBx7F37961a9c+99xzMpmMz+fPmTMHfpza2trC" + "wkKLxWIwGBYsWIDQn1mzZsEydF0rS/t0+WaB0B3MtC45gH8VLuOz60yMGo2GK4LlRvfA3d3dBGwa" + "blcNPXjZL1y4UFNTQygO7ZSjBcRiMcRbaZpOTk7unR5AUsGKYZh29EL+tiABJe2zH4RTaDQaVN8M" + "DQ09d+6cWq328vJCZfKVK1cGBgbOnj27uxr+94LD4UAJT+raVfPAUFNTU8PDw7ds2WKz2YKCgpqb" + "m3fs2BEeHh4WFiYSiSwWC3e+MpvNGo1mzZo1f/zxx4ABA1Qq1YkTJ1QqVUxMTEe8Wt0jOEnTtNVq" + "tdlsCDO6JV5hu93urvPV/XCzn24CeQlvS/bjcDg0Gs3WrVtzc3Ph2kM5M4qieDwetlDI6hQKhUFB" + "QX379kWh6d5m+2FZFiUCIBlCXTtZ5u8JEsHW/jDG/htWz8jIyJSUlK+//nrFihXZ2dl8Pn/btm27" + "du169dVXu63ZfzeA/bSfaQUKK5VKX3755XfeeWfdunU0TQsEgoyMDHi7MjMzYbHD8SKRKC4uTiaT" + "7d+/32KxXLp06cqVKxKJRKVSvffee7AIXgtEZbQrbtblpiiKslgsNpsNMWFdfcWbAoh2utHNcLOf" + "rgX0Q00mE4Ro7XZ7++Gityh4PF5AQMBbb71lt9t1Oh2oD+RA4JWA+R25OSQasUeoD8Id4BGAhdxq" + "tRKzPISqKYqiaVoikZjNZhJTQjtli0mt1q4D1EqgE0jTNCIY0FR0YJdevR2gZst15Z5RtKhPnz6x" + "sbFKpRK1u1etWrVx40ZEfjz99NPZ2dntnAE+C5ILg9tH1jHXzGA0GjGcGIbBeo+EfEjXEKcY4pBu" + "uYwwUgW2paVl9erVgwYNSk9PR7eUlJSsWLGioqIiKipq0qRJycnJtLMuLCAWi0FSEZLc2iFIjs/K" + "ytq4cWNBQYHZbI6Pj1coFDjyn//8J/d4T0/PJUuWUBQ1duzYq1ev+vn5GY1GqVTakdGIN47kz3cp" + "WJZFeQqoX/a2/VWbkMvl8AX3dEP+XnCzn24Cgh5kMplKperptnQhHA6HQqHAawxhe6vVqtFoYAyQ" + "y+U93UCKcs6PkKsXiUQuah8OJ4g0Lfaszc3Nzc3NVqvVz8+v/ao9nQeM9nw+H75CBEhBg7FLr3td" + "wPYjk8naD9lBj02ZMmX8+PECgcDLy+v1119PT0+/ePEij8cbMGDA0KFD249yIFK/hE8rlUpQIiLj" + "S3GMCrSzmopAIODz+c3NzRs2bLBYLKjHJJVK+Xz+gAEDoqOjbyEC5HA4IBH566+/5uTk8Hi85ORk" + "gUBQXFz8xhtvFBYWenp6Xrp06fTp04sWLUpOTiY/tNvtWq0WfdKRgl8CgSAxMRFcoSPeoqCgIPyq" + "gws2n88XCoXXFf3rPECOfX19PTw8QkJCwHp7/xNXKBR6vb6nW/G3g5v9dBOwj8es1NNt6UIwDFNX" + "V1dUVJSZmcnn8zUazc6dO0+dOqXRaKRSaUhIyIgRIxISEnrQIm00Gv/4449Tp07pdLrAwMBhw4b1" + "69ePyyoQt0TTdHV1dWFhIZ/PLysrKykpKSgoKC8vNxgMI0eOXLBgQVe3k2VZg8Fw/Pjx8+fPCwSC" + "6OjorKwsSAV29aXbB4wx1132+Hw+aiFRFEXTtI+Pz/Tp0ymnCY1Ytq71c/yQZdmcnJx169YplcrI" + "yMjQ0NDo6Gju/oEbh0TSKh0Oh1qt3rp1q06nQyCXxWIRiUTz58+Pjo6+GX3QHYDlZs+ePV9//TXK" + "RRGzX15eXnFx8aBBg2bPnp2bm7tmzZrt27fHx8eTYYwwOxibdTrdddkPDGPg3B0p8EKePjms/eP5" + "fL6vr283JHyh08RisVAoVKvVt4rnC0PUbfvpZrjZT5cDUw/SvoRCYS+xf3QRGIYpLi4+cuRIQkKC" + "Uqn89ttvf/nlF6vV6u3tLRAIjh8/fvTo0UWLFsXExHRzw7A6OhyOXbt2ffzxx42NjTCo5OXlvfvu" + "u2RBJZVJaJpuaGh48cUXGYZRq9U6nc5iscD2sGnTpoyMjKFDh8L+0XoBIAXdSM1InJP4/shh3GWJ" + "LDyUU8J43bp1a9eubWxspGna29t79uzZDz74ICnYSbT8iYuHa6niZt6Rz0kdR+7luN4BeFVsNhvZ" + "1uO33Fs7f/58c3NzY2Oj2WwWCoVwBeIn5N5JhAcxJKC3aWfNUXyLVEG49sh9kSbZ7Xa9Xr9169bd" + "u3dDws7Dw8Pf379fv37Dhw+PiYnx9/evqqoiPAkFrfCu9enT54MPPkAFDJ1Ot3DhwoiICK515JYA" + "j8e75557TCbTnj17iouLcadWq7WkpITH4z377LPJycnh4eF5eXkHDhxAFXRAIBD4+Pjg7+rqaspp" + "HsMn6HDyOFwSozAsYTLBr1wGNvmbahXOyB175Fsy8s1mM3kNuSmiZMyQH5ILcc/A7RncQuswYZwE" + "ZKKxsRHWU5dCYBTHWNj6xrkzQOsbJ/VDuG2jnfoCJKURH3LbzGWHLCcfFldRq9WUU/G5x7c3fx+4" + "2U/Xgvv2otL17S3oybLsgAEDYPg5ffr0unXrIiMj33333cjISJqmc3JylixZsm3bthdffLGbG4Yo" + "B7VavXv37ubm5pdffnnw4ME///zzqlWr8vPzCfuxWq1NTU3QKWAY5sqVKxRFEWUaTFUajebll19W" + "KpUymczhcED8hivVimkdS7hMJgPfNZvNJpOJz+cj8As2CaPRSH4lEAg8PDzMZjNKCxkMhqtXr5Jy" + "WpWVlV988cWRI0dSUlIsFgvCWmtqajw9PZVKJclTs9lsOCd4iVgs1mg0QqFQKBSaTCb4jxQKBcI1" + "HA7HlStX6uvrg4ODtVotlhPYDHQ6nYeHh1QqtdlsEomEYZimpqaGhgYej3f06FGz2fzvf/87Ojo6" + "ODhYIBCEh4fD2dTS0tLS0uLj48OyrEajkcvlXl5euFmdTqfVakUikUgkCggIsFgs58+fZ1nWw8MD" + "zwXuUZvNZjabPTw8WJatr6+nafrixYtYFXBrlZWVp0+f3rJli0wmk0gkdrudhP706dPHbrfDgCES" + "iRISEhB2vXv3boqiJk2aFBER0V3D7SYABDokJOTZZ5+NjY199dVXsYOyWCwajSYqKgqSg4GBgUFB" + "QUVFRdwAF7vdjuQDu91+5syZU6dOqVQqBEVZLBZYwkwmk8ViUalUXDrOXdHhriIGJzjR8IlOp0Pk" + "nFwuh3tRKBRarVaTyUT8s3hkIpFIp9MVFhYeOnSotra2uLjY29ubVJUn0fGIUCYLv9lsFovFxG9F" + "+ASJvcPVW7Mf0Hej0WgwGJqamuAclMvlUH/m8XgIiEYqBiZkIkdCQvpYjkgbCA3ZM3D5GXlMoG7c" + "DQDaxuVPZH6gnVWcyY3YbLba2lq8a27q051ws5/uABKIsP3tvMZJL8fly5dXr1798MMPb968mcfj" + "zZ49Ozo6GtNHWlraggULeuQNRwSSSCS688474+PjJ06caLPZQkJC5HJ5Y2Mj9zDE8xKbBP1nMT3s" + "laVSaXx8vFQqRVg3KplgokQVdETaYlrUarVYEqRSqcFgMBgMNE1DTIhcBTMmNsess0ABOSdFUQzD" + "aDSac+fOXb16FesiV+OYO9saDAaGYQQCgclkMpvNJMwcdIc70WMjTtN0QUEB9uJYilAYHFfH7ZN/" + "zWYzDCpqtbqwsLCwsBCBUyCLMALhtAgkJ7FTLMvq9XoscuSmSPeiN8gdNTc3MwyD2Cw0g+z+8Sz0" + "ej3JacLZ4Bji6v3gzGVlZUuXLo2Njb333nu7YZjdXAgEAljFEC8MGmqz2VpaWlBlgkSFu0S3oCYX" + "y7J8Pv/o0aNz5syRyWQwiqAbEREMagvrCE6FhZmIVhDBaJwWn5C9gd1uxzDDtOZwOOC+ISSAcmph" + "4HlRFPXII48gZg5WGZqmoSoErkOKfYrFYuwoUFEOyQd8Ph8MRiQSTZw48cEHH2yz02iaxs8bGhpm" + "zpwpEokImZPL5QqFAoGJyDkViURgPJgfwP5xd3w+X6lUwmLq5+cH8xX0IcFaSOeAbYPH4Hi5XI7R" + "azKZiK2L1JAndlmtVtvS0tLU1HT58uW4uDjic++a0eSGK9zsp2tBbMtY6ng83u3t+bJareXl5ceP" + "H7/33nsLCgrEYnFaWhrJkebxeCkpKT2ShYGFn2GYyZMn0zR9/vz5H3744dy5czabjVuOh8fjeXt7" + "a7VaHo8XHBw8fvx4k8lUUlJy9erVK1euEDfWM888M336dO72jizPYLo0JxiFuKjwN+Y+TIussxY6" + "Woi9KWI1CgoK1q5dW11dDQpit9uDg4Mfe+yxxMREFE3E7RB/Fk6LpQKLhMlkUqvVHh4eEN2Ry+Vg" + "G5jo0ULM19h8owdgfMKpsJKh/QKBQCQS6fX6b7/99vz581OnTh04cCCfzxeJRCgvyrIsGs86Cy1R" + "FCWRSIjLAMskn88HXxEIBAhn4fF4er1erVYLBAKYc+RyOY/HMxqNhYWF//d//1daWkoeEOlnrGe4" + "Lpbb1ltni8WydetWg8Hw1FNPgRvdcksLFmaQA61WC7Mfj8erqKgwGAxSqVSj0TQ1NUkkEhe3FBmB" + "kZGRqampSqUS7x0eEIgLDIR4MW02G7cDzWazS3QX1ngMNjxxwpUxwMDpYV6iKAp0DeOzubn57Nmz" + "Wq02MzPTy8sLAWFEOhnXJXSWcuplgE8LBALyooGyHz9+/Ntvvx09ejTx7nEbyTCMv7//hAkTgoOD" + "seFhWdZkMjU1NcHwA6etSCSSy+WVlZVyudxsNiM6ipwBXSeTySwWCwxdePdh4nI4qyBTzmqAFMdQ" + "xDhlXbkgbyi3S/E0WZYNDAwcMmSIn5/fLTc+b2m42U93AAsM1S2KFz0LhmH0er3RaMRcxjCMj48P" + "MVGAgmCu7OaGcdcGTE9nz56tqanh8XhnzpxJSEggh6HABZaNefPm2e12+HROnjyZm5tbVFTk7e09" + "duxYMBJi2yAnhxegk6212+0ZGRkikQglJPHhsGHDHnjggU5WL+okWJbNz89vaWkZN25cSkpK110I" + "b0pycjKoJygdxUnquffee7OyshobG//73//CpejyICiKqqioOHr06IABA5KTk7uhxOZNB2EDMJPg" + "E5lMFh0dnZOTc+zYsQkTJpSWllZUVLgsnDweT6FQCAQCu90+evTouXPnkmxz0BGK44LhUiVSbJxr" + "7CSnJX4okq8HQymZ1shOj3L6mrG6NzQ0LFu2LCcnZ/78+cHBwaQ2LX5FpgUSZsQ6kxzRZkJbwUu+" + "+eablStX6nS61uwHxysUivvvv3/s2LEkvgfOXBxDrGVw/4HQEBczOQZCGDCmEncY6BfLCXJCO7GR" + "4B7T+lG2fr6EQonF4pCQEGKmandQuHHT4GY/XQuMZlhu4Q1paGjo6UZ1Ifh8vl6vh6MHk29lZWVs" + "bCw54OzZs5cvX54yZUr3t411ZnIhKGTLli25ublvvvnmhg0bZs6c6XIwwzCRkZHYUstkstDQ0Li4" + "uIcffhjefXiOus6W4HA4RCLRjBkz7Hb7Rx99ZLfbU1NTH3744TZjHboTsPmTFbTrgIclkUgGDx78" + "+++/GwwGh8MRFRWVlpY2fPjwgQMHCgQC5HJ//vnn1dXVcMdwHwfLsnl5eWVlZQsWLEAQ9y29rsA+" + "Bz6RkJDgcDgWL168fv366upqrVb7xBNPcG/QbDZrtVo4UiMiInx8fLghLNxgFO4lhEJh67gWFxAy" + "gf+2Vi9z6WccKRKJAgMDKYry8vKCO4lx1vwBmYCJq82oGhALtJxyEixE7bTTXfCmYSuC0/r5+WHc" + "4rUFSyO6Xy6vFe4Crxti80niAvnD5TZxTmLaJI0nf7duJGFRsKgRsutG98DNfroWrDOdASJsfwc5" + "c4vFgqJ9Q4cO/eyzz3766afnn38eNCI/P3/x4sWhoaHdz34wrajV6kWLFtlsttdff12lUvn6+vr4" + "+BDjCvXnCQshEZiV4P2B3wqulvYTtjsJLAx8Pn/mzJm//fabSCT6z3/+ExgYCNdDD9p+eDxefX09" + "4mG79EIkpmfYsGH+/v5qtTokJASx87QzyBR7ZRJC67KWG43GvLy8xMREBPy2XrFuIYSGhk6cODE1" + "NRUUYeDAgTNmzNizZ09ZWZlKpZo9e7ZLVBOCvYRCIY/HgzORsA0ud2m95Ldp8gGIB9Plc9Kx5N1p" + "fRLWGUUETxaXQpGYG+IQ5zYDxhuur5xlWaPRaDabkSd1LUD2iXLaV2BbwruMV5iYr1qPZHJ1uJi5" + "pIR2gryGxF7FPQxftc9+WGccG+2sgci4q9R1L9zsp2uB114ikYjFYkxAXS2U1+MgNuq7775727Zt" + "27dvt9ls4eHhZrP54MGDBoNh1qxZPdIwZEIpFIrdu3cvW7asX79+paWlNTU148eP5x5G4tPh0cek" + "humMO1F2KYsl/EYkEoWEhNA0HRQUBH9BD1IfiqJsNhuUA7u6IiPjVAPn8Xjp6eku35IYUkShYoUj" + "UaXkDLGxsQMHDlQqlVRP91snERIS8p///IdUj/Hw8IBYdlVVVUREBPElEZA1HiEv3AW1HQODy2HX" + "Pab1CV2+5X4ulUrhjCMuPO7B3DerfRMIqJLD4biuPCC5EP5LNNzbubvWd8E1C3E/J8OJO65oZ2XD" + "Nk/V+ipkqqR6SPj+bw43++lakPeBcPxbeha+Lmw2W1xcXGRkpK+vb3Bw8FtvvfXtt99u27YNe5qI" + "iIgnn3yS6wjrTiDwcPLkyRqN5tixY8eOHQsKCho7diy31ibt1J6hKMpoNNLOVNseaTDllMnp2Vgf" + "LpCx3wtN9FzbBtomEokmTJjQe7quM8AOyoUuJCQkxMbGsk69Ga4xEnmIvecZoWG+vr6d3zOwLItk" + "fpdIHTfc+Ktws5+uBfIadDodkjl74bJxc8EwTP/+/ZOSkmDQTk5O/uSTTxoaGi5cuODv7x8XF9eD" + "ZAJe/P79+6enpxsMBrPZjIhsTKbcwzBZu3zeU2CcIrw93RCKoiiksveSxnDRel9B07RSqaSdEga3" + "NFinuCW5R2ICgdWE/rO2HthPz7S1Ldjt9sbGxpqaGiKddcPAFNq6QI0bbvxVuNlP1wIOXZ1Op9Pp" + "sKzellVOCWCocLHDh4aGhoaG9lSTAMYpwob+57ZQKpVyj0Q9Wjy4Hl/maZpGCjqE5nq2MRRFIXIf" + "ARytv0WS/JkzZy5evDhs2LCgoCBuMAf6U6vVnjhx4uDBg7W1tV5eXtnZ2SkpKXK53G635+fnOxyO" + "lJQUWAiampp27Ngxfvz4mpqan3/+uaioyNPTMyAgICMjIysrC+pwID1IvjMajeyf1Xtx9d7Qb50E" + "TdMud8G0KvrG/S/DMFCiommaJJa3c35HK23umwuIUt6UYg4QcoQO5E1p27XAsiz0I37//ff09PTA" + "wMDrFhgmtZxra2v9/PzgOgf5bmpqoijK29ubGCnd6HHc8jbh3o+OzD5u9B6QNFS9Xs8NiO4RQHva" + "JfCzB4F4z2slfPF4PLVa/b///e+zzz7bunUrNwwZNhiLxfLbb7998MEHxcXFfD6/urr6o48++u67" + "7/h8fl1d3Wefffbyyy8fOHAAL0tJScnKlSvLy8tPnjy5du1atVptMBgKCgref//95cuX6/V6riuZ" + "ZdneaZTqEaCrMe1oNBrq2jlc5HiS9955gtIaPB4PmRA35QGBf3e1cBpN03w+/9KlS5999tnGjRs7" + "4rODwTs/P//tt9/OycmhnN2u0+lWr169YsWKNpPh3egpuG0/XQ6Ig93eJp/bBkajESY67K0xnfV4" + "k2QyGaSTewOQO91mt9A0fejQoZKSEp1Od+zYsYkTJ/bp0wdfIaWloaFhw4YNGRkZs2fP9vHx0el0" + "u3bt2rhx48SJEyGKXVdX980330RERISFhbW0tDAMg+QdhUKxcOFCf39/s9mcm5v72WefxcfHDx8+" + "nLuvIMlEPf7IehyomoKuaGlpIQI81zqeZdkrV66wLBsUFIQU8Zvbh4hJvykLP5/P9/T0hA5F58/W" + "PhiG0Wq1BoPh4sWL2MS2vwlBlJ6Xl1d+fv62bdtQ65BhmAsXLvz+++/jxo1zsU260bNwP4muBd4W" + "JBDhjx43J7jRDlDuCjNdaGgocqp7sD0QTfb09OwlkybhPW12i9VqPXLkiMPhGDVqVEVFRV5eHvwU" + "KG/H5/MvXrxoMBjGjh0bExPj4eERHh7++OOPf/vtt6jA5XA4pFJpaWnpDz/8gKdgMpnA/OLj4+Pi" + "4gIDA8PCwiZPnuzt7X3o0CGKk8IDO9PtXUSv46BpGlHSDMPIZLJrFZCCpt/p06cfe+yxadOmTZ06" + "ddKkSXv37jWbzWaz+YsvvigtLYU3B6VXdu3ahdojKHhy5cqVDsbx8Hg8sVgsk8luCqmCVaYz1lDY" + "dwm4JWVcDoPJx9/f34X6WJ3g/hAh9pGRkfHx8QUFBeXl5VBvLy0t1Wq1oPi9xIjrBuVmP90AmgOW" + "Zd1GoN4MX19feOsxLfYs9aE4qms93hIAqcvwYrT+9sKFC+fPnw8PD4cmdUFBAUom1dbWlpeX19bW" + "1tXVeXh4eHl5sSzb0tLy7rvvvvXWW+vXr798+bJQKBSJRE8++eSbb75ZUFAAcoPyScgY4PK//v37" + "azQao9EIFw/ltLDeBgHONwU2m+3KlStwU8pkMpShaH2Yw+G4dOnSSy+9VFlZOXr06Mcee6xv377v" + "vvvu2rVrr169+uOPPx4+fBgV+miaPnPmzDfffFNZWckwTHl5+SeffDJ//vyWlpaOtAfFW7RabeeH" + "sc1mQ8mwzqCxsfHSpUvl5eXI66SulwMfFBQEwUzSfrvdvmDBgoKCgjZ/OHfu3JaWluLiYigO5Obm" + "9u3bF/XFesmL7Abl9nx1D0jabfcYbN24YUCVmxjqe9yNAocRwkV7g0MHZgCqLduP1Wo9ceIEirAu" + "XLiwurr60KFD06ZNk0gky5Ytq6urGz58OCmEgs23wWC4cOFCUVGRUqnMzs4WCoVhYWF33nlnQUHB" + "8uXLPTw8KIqCrItOp+PefnV1tVKpROF6s9mMoCKdTnfbS4l2EMSegZRGUr6UCzzKLVu2mEym//3v" + "f5mZmTweT6fTrVu3DoQV9XpxGCJgHA4Hnv7HH3984MABKFF1pD0I9YXia+fvDurPndE9//XXXzds" + "2KBQKLKzs8ePH+/t7d3+8Y2NjUTVEJ9otdozZ85kZWUlJia27tuoqCh/f/+9e/dmZ2ejxP3kyZPd" + "+97eBjf76VrgRbVYLJDf4OasutELgYkMBKg35ArRNO3v7997FnWHw4Ea7631fA0GQ35+vr+/f0pK" + "ilKpvHTp0sWLF/Py8oYNGzZ9+nSLxRIQEKBWq1esWFFYWJiYmOjh4fHPf/5z7dq1Fy5cgBWHpmmF" + "QiEWi8eMGZOTk3PkyBEvLy8UA4dHEnaIysrK/Px8mJcA7srkdi5QnGJzrLPybpuhPHV1dRcvXhw6" + "dOjAgQMpikL/P/TQQ3CHmc3mzZs3FxcXo2J5Xl4ehC4pinrkkUe8vLxycnI6GMqDQocKheKmiGTC" + "KwpN+b/0Q6K8v27duuLiYoqiioqK9u/fP3fu3IyMDKJgTjoK1nqGYSIiImhnveoTJ07s3LmzoaGh" + "trZ21apV58+fz87OTktL487tSqVy2LBhP/74Y3V1dUVFhd1uT0hI+EtlvDCkubl4mJRIq8D4iRYG" + "Udkm+aqkoAf5L+VU3+U+NS6lA7WlnVKZtFPjnhxJin6QRuL8RFqTHOZS1ZVcAoehbho+ITLfPB4P" + "ZyNn5upMklvr8NO+Ptzsp8uBvTs2PWazufNmWze6DmRqEAqFNytMoZPtgYJALyHN2MFzq3+Tya6s" + "rOzs2bOzZ8+eNm0awzA1NTWvv/761q1bx40bR8Sam5qaEhMTUZlr4MCBhYWFv/zyCxieyWSy2Wy+" + "vr4sy/br1y8rK6uoqAhVllpaWmpqan744QdfX9/6+vp169ZJJJLx48ejkByR++sNz6uXgHhzeDxe" + "3759r8Xj7Xa7Xq+H6hVZFxFmjlCtkpKSsrIyxlnFMyUlBXkAiYmJNTU1RUVFHWwPylNIJJLOE1Ns" + "JkUi0Q0b0e12e1VVFUVRKEd//Pjx4uLiGTNmzJo1i2VZLgGiaRr62qBZWIOPHTv2yy+/wOJ46dIl" + "i8WCIoCkjixFURKJZNCgQdu2bVu4cGFDQ0N0dHTfvn3/UuYv6yyYCiup2WwWi8Vk+Sf1dsjxJIBJ" + "p9PxeDzomxObHxHDJMwJfEin05G3hlTecDgcpaWldXV1YWFh3t7eBoMB6glcNkM5N/aUU3bSYrHU" + "19cjzsnT05OmaU9PT0wXGo0GrfLz88NTQ++h3ghujdyI0WgEDaqsrES1bNAgT0/P4ODgv8p324eb" + "/XQtMF5JdALNqeTnRi8E9hyYcXpDCC1malSp7A0mDZqmDQZDm1GiQqFwwIABd9xxB6ZpPz+/O++8" + "88KFC+BGqA6rVCpnzpzZ3Ny8ZcuWzZs3e3h4BAcHx8TEREdH+/n5ZWVlYXHl8/n3339/SUkJRVHe" + "3t4+Pj4+Pj7Lly9HmTx/f/8nnnjC39/fbrfjzQJHJEtUD/RLLwPqpeNv+BlbdwtN03K53MPDA3mO" + "WEpZlr148aJer1cqlSKRaMqUKffddx9W359//rmmpgbnQV5Yx0k5aIRWq+38O4XypaTox18F1mxk" + "+COXUKPR1NbWfvzxx3/88ccjjzySkZEBVR6Komw2m1wud7nQvHnzpk6dWlVV9eKLL86dO3fKlCkK" + "hQKskWt3YtYAACAASURBVHuVgICA4ODgAwcOyOXyqVOnti5Hf104HI7GxsYPP/zw6tWrEonE29vb" + "4XD4+vreddddaWlp2BiQyiEmk+nIkSNbt25FsJ23t/fYsWPHjx+Pwko//PBDUlJSfHw8rKd2u331" + "6tXe3t4TJkzgDgyTyXT06NF169adOXMGIquxsbEPPfTQoEGDBAKBxWJZsGDBhAkThg4dSlEUTdNa" + "rfbjjz8ODQ0dMWLE888/X1tbC50FiUTC5/O9vLwmTpyYlpb25ptvVlRUyGQycNb09PTZs2eHhITs" + "3r37yJEjb731FuUkVVVVVYsXL545c+bJkyc3btyI4HqEzIvF4gEDBixYsADE7qbAzX66HNxQCYR2" + "9nSL3LgmWGcNZ6vVimDbngXoslQq7SWLOukfLK4wR8M2HhUV9eqrr5K5SSqVzp4922g0olQkpl0+" + "nz9w4MA+ffrk5+cLBIKQkBCVSkU28fPmzSN77vDw8LfffpumaR8fn1GjRkVERBgMBoZhPD09o6Oj" + "sSYRkzt+hdhw+s+qxxTHbP6XNt+9E6yzZidFURaLxWQySaVSUuCM3B1ZsLGHJn4Ql1PJ5fKoqKgd" + "O3YMHz48MzOToqizZ8+uWLEiMTFx8ODBDodj4sSJ/fv3x8RVWlpaVVUFrxPDMCaTCdEwHWw2goc6" + "3/98Ph9ZbNzCqB0ERgKMqWazuU+fPlOmTLl69eqRI0eqq6tPnz5dVFQ0atSoqVOnxsfHY6LGJWDe" + "IKaXPn36BAYGBgYGxsfHw3bSuiUqlSorKysnJ8fX13fQoEF/NW6PYRhofe3YsQOuN7ShsLBwz549" + "L7744ogRI8hFHQ7H/v37//Of//B4vMTExICAAI1G83//939FRUXz5s0LCgpasWLFAw88kJCQgFsw" + "Go2nTp3S6/VjxozhkrbCwsKPP/64rq5u2LBhkZGRLMseOHBg8eLFTz311KhRo/R6/cGDBxMSEsB+" + "KIrSarWXLl2qr6+fNGnSfffdp9PpCgoK9u7dC+6lUqliY2Orq6vr6uoiIiIGDRpE03RLS8vvv/9e" + "V1f373//u6SkZP/+/a+++ipoNMuyOp0uLy9vzJgxo0aN8vLygiJGUVHR2LFjU1NTIyIibm7slJv9" + "dC3IbE6CEnpPDIcbrUGWT9icYSLuwSUTarO9iv1IJBKwQ4vFwq0cKRQKXTwsMpkMzIbbeJqmO6j9" + "TeoB+/v7+/v7t3kMVmIE1WExIM+LhE0gPAKugWtlP91CQAxNUVHRqlWrWlpaQkJCpk2b1rdvX5Zl" + "yc6K/nMR9WuZSXg83qRJk3Jzc996662EhASKoi5evCgWi5977jl0qdlsJjlfJLKEcnZ7x8kHrCwW" + "i6Xzkj82mw265zdmCqVpWigUSiQStVqdmJg4Z84ciqLOnTu3ceNG5PP/8ssvOTk5GRkZc+fOjYiI" + "QDeCwVNOZyJFUQzD3H///SEhIe1QuokTJ9rt9uDg4BuobAgLqFAoFIvFd9xxx6OPPurl5eVwOK5c" + "ubJgwYLt27ffc889ONLhcDQ1NW3fvl0kEr3zzjvR0dEymcxkMu3atWvNmjUXLlwIDAw0GAylpaU2" + "m00kEsFdBV8klzGbzea9e/fqdLoXX3xx5MiRCoWCoqiRI0e+9tpr69evj4uL8/Lygr2HNBIGcrFY" + "7OXl9fDDD9vt9vPnz588eTIjI+OZZ57BbLB3716BQDBp0qTJkyfTNA0H2YkTJxBWD16OyRYEHfu9" + "2NjYmJgYq9VqMBjKysomT56cmppK3exasG72003Agoox3dNtceOasFqtmB2Ij7xnM1RFIhGsvr1k" + "zYZ0m16vb2lpgc++Z9l8c3MzWdqJHQheQp1Ot2fPnvz8fC8vr5EjR4aHh/eecmk3DERvlJSULFy4" + "EGE3KBuyaNGitLS0Nn/STqgEbGwffPDBkiVLLl68qFAosIn39fVtbm6eNm0aKBGQnp6u0WhUKhVc" + "+X369Bk8eHAHRTghoOXj43OzqpyKRKLOLIRisRjmK4fD4enpOWDAgIyMjIyMjM8++6yurq68vLyk" + "pOTw4cNPPPEEEt/anLSnTZt2rWJqGIEBAQGPPfYYisP81RayTpFou90eEBAQEREhk8nwoUgkIgWY" + "YVS7cuVKWVnZ+PHjBw8eTFEUwzBKpXL69OljxowRi8Umk8lkMpWVlZ08edLHx0coFNbU1FRXV6MP" + "iVFKo9Hs27cvPT09Ozvby8uLaJ498MAD7777bkFBQUJCgsViIV3BjXGGYU8gEPj6+kLvkTxokrIA" + "0Tu9Xl9VVSWXy3EkV6sdOyvi0yTvskgk6nh24V+CeyXuDnAX0d6QSeTGtYCEJvzds7yHgKbppqam" + "v2o5v7mAQUWn0/3yyy+5ublqtXrp0qUvvPBCWloa19P0V1tIJlDu3bkkibgc7HI8KWxusVgaGhpI" + "9K7Val24cOHRo0fhmPj555//9a9/DRky5KarGHczcGuHDh26dOnSoEGDnnnmmbNnz65Zs+bzzz//" + "5ptvuEeSUFbuCgeQnsSCFBIS8umnnxLvGKw+vr6+zz77LOVchCwWS3Jycnx8PI/HQwhXcnJyUlIS" + "VHCoth4i9xMSTE1mwms9hWuNc/IrmJ2QJMjlUq3HD9sq24j87evrW1FR4ePjA6MgMgcffPDBpKSk" + "r776Kjc3t6mpqbq6+r333kPcbmVlJdf0RTmpNjGMubSW2FSgHHYDFkfQmoaGBrVavW3bNrVa7eXl" + "dfXq1eLi4qtXrz766KPoB7SnublZo9HAOEQoPk3TCoWCx+MdPnzYYrHk5eU999xzsF1ZLBaLxUJy" + "EQCr1drY2AjvEkmiFAgEUVFRFEVVVFSkpqaiu4gTGT5EhGHhJBqNxsW/gUigb7755pdffmFZFoIX" + "o0ePDg4OhpAHnLkk5pqMW1gKQIl8fX3/Uu91EG7207UgLyHyckUiESyKbvROoCgSmdrI4tpTIIkV" + "PQts7zZs2PD11183NzezLHv27NnXX399/vz5Q4cObT25kykM/yWZutxvKU44CNxSpK4I6yyJyl3D" + "iM8FcyI5FY7k8XgSiQR9xePxrly5sn///vT09Llz51osltdee+3XX3/NyMi41d8+JJBevXqVYZgn" + "n3wyMTHR399/9+7dFy5caGlpcYmKwNJiMplQvIVbcZZySkmRxRskiXVmEmEdxYMjYYt4QPiXGEdd" + "Lsf9kHUC54Hej4vzyyUYC9+2tuuQ6nJnz54tLi5uaGjYtGnThAkTuM4+yllOjstxyVLNlUXAEIL7" + "DNfCPSYmJi5evHj//v3btm07fvy4TqdDn+/fv3/EiBFhYWFER4AYLdoEd/m/YQ8dj8eDIaSlpQXq" + "DxaLpa6uTigUQhudvCyEK5AbhPHJZDIRF2FISEhKSgrDMBKJpKmpKTc3F0yXxE0DWq3WarVyTXoG" + "g8HhcNhsNhIdD5c364wf5/4c9VXwIelqiqI8PT1VKhV8ZImJicOGDaOcgXqgR0gzJBkVZFhiwHOn" + "gpsIN/vpJiCTiLxsbvRyMAzj7+/f46YCzLM3kDByc8EwzMWLF7dt24aiUUiLvXLlyvvvv//bb7/5" + "+vpimiZVXIhZXq1Wg/ETKQGBQPD/sffd8VVUeftn7txec9NDSYGQBEIJCQgBCaFLF1BEUQFXRF3F" + "urzvT3SVddd17XXFsrIidhdFFilLky69BAIECAkh/Sa5fW6b+f3x7D3veBNCTe5F5/mDz2Uy5cyZ" + "mXOe8y3PV6PR4L+NjY1QbcFgHRcXp1QqMfbhY6GGUljpHQ6H0+lEtgtqaJSWlno8Huwsjg0Ci1Uq" + "lR07drTZbF6vFwPrdW34IcHkKbVaHQgENm3aZDAYTpw4UV5e7nQ6z549m5SUhN0o4QgEAkePHm1s" + "bITWDjVgIGID3RIVFUUIgUlApVJBRwCmBaVS6fP5GhsbeZ7X6/XgQ9iOuZZOSOCd4CiUGcBHhkzs" + "c+fO1dfXNzQ04DHRtT6dvOnGFtMbcTsnTpx4+eWXT5486fP5XnvttaqqqpkzZ8bFxRERk8Z0KzYy" + "CaJQcRI0RFEyh414IRmG0ev1N91008CBA/ft27d8+fLdu3e73e5jx44tXLjw7rvvHjNmDF71tn6R" + "0GzIX+Xl5c2ePTsmJoZhmI0bNy5ZsmTDhg39+vWjjxIMpra2Fj2AM9TX17/11lvDhg1LSUmRy+W5" + "ubkLFiyAta+srGzRokWxsbHiJ6hUKjt06FBaWiquXsIwzO7du+VyeVpamslkUiqV1ObEMAzHcS6X" + "S7w8M5lMyE6nW5Dndd999914443I3qJCRMhmr6ioSE9Px/xYVlamUqkQ5ohHBuIVkhV/rSCxn3YC" + "vjTEeYW7LRIuDsyvYlWucMHlclE9/nBBJpMh1ocEvQ94jc+ePVtVVSX202N/pqU0K+oEEf+XiCYe" + "cQSAOO6KnpkJBjLT7YiowOENDQ10YO3Vq5dKpVq/fv3evXuxrB8+fPg1zJUNFzD3dOvWTSaTffjh" + "h1999RVYnUKhENsbaCXaQCCwfPnyn376CVJ71AiHSQUB1DqdTiaTKRQKBLhoNBpoLyG3PBAINDU1" + "MQyj0+nEER6gsDSDHWEiNBULLBZuI57nOY6z2WyCIMyaNSslJcVut+MkYBIww+h0uuHDh48YMaJF" + "NwfI2Z/+9Kc9e/Zgvq+rq1uyZMmKFSv0er1KpTIajSaTiZqsaHEYg8EANSmtVutwOKqqqqqrq4uK" + "inBHlBLhRaqsrCwqKho7dqzRaBw5cuSIESPWr1//4osvVlVVHT58+M9//vOWLVsWLFgAhaS2/iTh" + "GOJ5vnfv3gMGDFAoFBzH5efnf/XVV2VlZWg5wzBKpbJbt25du3Zdu3btrFmzoqOjQXPfeeedrVu3" + "Dh8+3GQyIf8c/InneaPRCEMpuguXMxgM/fv3/+abbxYvXrxw4UJs3LNnz7Jly7p169arVy+wzL17" + "944bNy4lJQXetIaGhqeffpq2Gday5mFS8fHxeDqwQeLN6dSpk8vlWrJkyYIFC6Kjoy0Wy9KlS00m" + "E/gx1TrCOqctcqUl9tO2wEIWmTsY36Wcr0gGfASEEJZlrVZr2KkPwzBGoxEKOmEkQDzPp6WlZWdn" + "w+ciBLPhUlNT+/fv37lzZ7PZDLeL3W5ngjVHCSFQDfH7/SaTCXU38VeqkBYVFeXz+ejQFggE3G43" + "1nl05UdX8zabzeVyxcbGNjQ0OBwOmUy2d+/ezZs3k2DBThrDsXnzZo/Hg4sSQmw2W21t7dXURogQ" + "YLrKz8+fMGHCvn37AoGARqOxWCyJiYl9+/alu8H7gJ2VSiVW5Lh3xJ8ifog+JrlcrlKpfD4fx3Fw" + "uPA8D4ud0+lUqVR4cDhnIBBADhQ1A9Bux8oeIx4hxOv14tXFDljrQxMPihKURjMM43a7d+7cuWvX" + "rmeffVZsPABg9IL0IiXBeNlYlrXZbBaLhf5J7GmFCxvOGsyj0J7FLVNDIzwvBw8ePHDgwMiRI6mR" + "fuzYsTk5OV999dWKFSuqq6tXrVp15syZ++67LycnJyYmBo7CtvgwcWadTmcwGEwmE+5LoVCkpKTo" + "9XqDwUCFnhmG6dixY2Fh4QcffDBz5sz8/HyTybR///5Tp06NHDkSApV4oBjNvF4vhFeo+xJXVKlU" + "w4cP37Fjx9dff33y5MkuXbpYLJb9+/dHR0fffvvtKSkpMpns5ptvXr169YIFC9LT071e78GDB4cM" + "GSLOaEODYY5lgqmgRqMRjJZ+5nhGhYWFmzdv3rRp0+nTp2NjY0+fPu10Ou+66y4khOLdiI2NNZlM" + "bSQTI7GftgV0KtVqtZTqdV2A4zjk5UaIKCVqa1ut1vA2g+f5uLi4mTNnHjlypKqqihDCsmx6evrT" + "Tz89YMAAjMKIt+B/WWhCHH5BfhmpIP6reH+hWYgu/S81hjPBxK7u3btv374dB6JaE/ZfvXp1IBC4" + "7777brjhBo7jXn311Z07d44dOzY1NfV6d375fL4zZ840NTXdfffdeXl5R44cWbx4cZ8+fUBrsA8m" + "RfRV3759oRGHvwqiSGTqqdRoNEqlErXSsAWyOgzDoKg7I1JUEoKR1EJQ/Ak/aE4rfKAcx2GxBx3w" + "zz77DIG33bp1Q/oSWBGyLHU6XUlJyaeffnrmzJkW68aDbKWmptbU1FBDoMlkmjlzZmFhIQgZWgUe" + "LLYX4tZYlnW73dAdfv311xEjj/fN4XCUlJRUV1dv2rSprKzs+++/79atW0ZGBtLlOnTo8OCDD95w" + "ww1ffvnltm3bjh49+sc//nHgwIHjxo0bPHgwDXy+tu8VujQqKmry5Mm9evVigrrPUVFRM2fONJlM" + "IQPUzTffrFAoNm3atHfvXkJIYmLi7bffPmXKFBTLGzduXH5+Pj4Z2MlGjRol/DIynWGYnJycBQsW" + "rF69+syZMzt27DAajYMHD540aVJeXh4sar///e8zMjJ++umnEydOmEymsWPHTp8+XZzHo9Fo5syZ" + "k5WVRXsjIyNjzpw5sBtR4HF06tTpqaeeWrlyZXl5eSAQ6N27d25u7oQJE2iWokwmGzJkiE6nEytr" + "XENIU3LbAqLdsCSHuy0SLg65XB5pgVkIngh7Gwghubm5jz/++J/+9CeXy5Wfn//ggw9mZ2eLHVgk" + "aK8Wx1jQ3BxxbAcmZjp90pPQYl5ERJios4zGsuAxYeymUzLNjGMYprKy0mAw5OXl9e7dm2GYhIQE" + "i8XyK4j7QXfV1tbu2bPnzJkzpaWlp06dQhJNi+srmUyWlZWVmppKFWvQ81iVCaLwZHRmfHw8Ziba" + "UbQCqPhBNAedQcW8lj7iDh06lJSU2Gy2sWPHxsTE8CL1RdqqtLS03bt3Hzt2jHIyMfAJ3HrrrUeO" + "HKEypN26dZs2bVpKSgq9Iib45uwZNyUEi6KsXLnSYrHAWweVmjfeeOPcuXMQF/7ggw9SU1P/93//" + "Ny0tDUcpFIr+/funp6cfOHDg3XffPX369Lp16/bt25efn3/XXXdBQ/lyHuPFgVswGAzz5s1TqVTi" + "+5o2bVpzsmU0Gm+77bYhQ4ZAj0ev14uj6B577DGVSgUGwzCMWq2eMGECuKk4xRVmxT59+lgsFrfb" + "rdVqzWYzKnhgVWMwGMaPH3/jjTfabDa1Wm0wGHQ6XchbMXXqVLHJPD4+fuLEicwvY5bZYGGv9PT0" + "uXPn4oEqFAoaW4bUQrlcnp6e3qVLF5rddm2/X4n9tC3oF463EK9guBsl4YKAWZimOZBwV83ECBV2" + "QxToC8uy48aN+/HHHysqKp588skePXqI92mxl5qH+dPxS2yoCLnQhcY4OmjSLQgfAa2BWAg+tIyM" + "jGPHjr3xxhtjx45tamr6+eefc3NzYXK4rgkQZoX8/Pz8/Pxt27Z98cUX0dHRd99995AhQ8Qvqljh" + "EHndYkpKo5JDQrKYYJL2ha5+Ke+huHvF+yNcnQ2ixVsLBAJwYIm3I5AIFGTgwIE5OTk7d+4khGg0" + "mmnTpnXu3JnK98E7JghCfX19bW2t2WyG15jmu9HrQoTTYDCADPXo0ePhhx+ura1duXKl3W5/4okn" + "+vXrF5K5zbJsYmLiyJEj+/bt+/bbb3///fc1NTUrVqzYt2/fvHnzpkyZIguq9nm93quXJEY3ajSa" + "kFNdKHYCxqHOnTs371Uikllhg7LgYvsKPQPOrFQqm3seKdCkxMTEFv8aEn+G8zf3W4lfAJPJBANV" + "CMRa26RtKthIM3F7QIp0vl7g9XqxzJLL5XFxccK1rip8BbDb7ZFQcwNgWdZkMrlcriuuMXltgeAA" + "ISgNh6WtIAjz5s0rKysrKyv7+9//zrJsVlbWvHnz4uPjr2vqQ4JxPwkJCUh9ampq6tq1a3x8fAif" + "oH1CCKFRO+Fo738BRoIH1MpuECMWf3GCILhcru+//37ZsmVKpbK+vp5qDft8vm+++Wbbtm1qtTor" + "K2vMmDGJiYl44j///DNyCVUq1YQJE6ZOnQqbFp2G0WN0njYYDAMHDhQEISYmZuXKlRC2afHDR2rh" + "//zP/4wePfrbb7/dunVrZWXl3/72t8OHD0+YMCEzM9NgMEi1jK4XSOynbUGj8CQCdB0Bz4vWDw9j" + "S2jOcBjbIAat6hz2eHBAXDwBme0I8khMTHz11VePHz9eX19vMBh69OiBGNWwP9Crh8/nQ5HRnj17" + "ijeGVPymD+jalka6Mvj9frvd3nqAMLWaiPdBLbPly5efOXOG+tHoOQ8cOHDgwAGWZX/88cfGxsYZ" + "M2a88sor+/fvHzZsWGpqqlqtPnny5LfffqtSqe644w4xKcFrAG8gE9SV4Xm+S5cu+fn5sEK1SBmR" + "rKTX6wsKCvr27fvjjz+uXr368OHD//rXv7Zu3VpYWDhmzJi8vLxI6HMJF4XEftoWQlCuG+kVyDKI" + "tMgSCRR6vZ4+MpvN1jyMt/0Bf3yEzNnIi25d6q09gaKbRFQXCbMaISQmJgbC/2JESDdeMaC1Q6UB" + "SDDUJkRwktbolcvlCKMJO+2Ty+W0IOuFANeY+NViGMbj8VitVj5Yvo1KCpFglBiS10pKStavX3/g" + "wIGHHnpo3LhxUDewWCyCIBQXF0PBz+PxlJWVmc1mp9OJtHwQMkqAOnbsOH78eDErag7aPL1eP336" + "9Pz8/DVr1nzyySfV1dXffvvtrl27xo4dO3PmTBSKp8FG4mBzXBHhEDSQH5FYCFG6kP9XEIl8ire0" + "Rdh1+8Dr9bIse/78eSSLISyEJhLSuJE2GoEl9tPmoO86uTTHuYQwQpyORBHG9vA8j5qOYZ+9ACTI" + "tB4A257Q6XRQ5IOhLuzqlO0AcaBGyA8K8QNqMYWqnYFIW7iVW9lHrOINsCybkJDw6KOPbty4keM4" + "1FWAd4xl2djY2KioKKvVWlpampeXd+rUKaVSeeutt1LCYTabn3rqKSTe+/3+tWvXvv/++zNmzEAO" + "eYh7iwkqhpNWWXJIt6ekpMydO3fYsGHPPffcvn37zpw589FHH61Zs+aBBx4YMWIEDE5CUEGb/FLM" + "GmXyGhoaGhoaunTpwrIsz/MIVSaiEPJWQOO4W98tYiGXy1esWPHGG2/wPB8dHT148ODRo0f36NED" + "soowwrWdSoXEftoJeE3ZC9dblhAJ4DhOCMqnYuQK+2yqUCjE+hnhBcMwer0e8nfhbst/QROnocR/" + "oYiN3xTExjkqYRXe9vCi8gUXAsMwEG0Sb1EoFOPHjx83bhwdPCknAOWFwYBhmOeee65v377UdkuC" + "clOI8N2/f/9bb71VWVnpcrmo+trV3xruKy0t7b333luyZMnatWvPnj176tSp55577ocffkBGGNx5" + "4gUwlDXOnj370Ucfbdu2jRASGxs7derUSZMmxcXF4R0WglVuWon8pYUm2npmob7Ua0u2OI7bsGED" + "RDQqKytPnDjxxRdfdO7ceeTIkfn5+bGxsVqt1mQytYXQM5HYT1uDEenV/jrCDn7dQGAmfVLU+hou" + "0KzUCHltBEHQ6/V2uz1CvLdUjUalUiUlJYmzuH/joC/MpdCOtgYclBd9ND6fL8RSBUUDpInRtGca" + "/UNlh3AJFO4QyyXIZDJUY9DpdNnZ2fPnz3/33XcJIXV1deQaJaNQr5nBYLj//vtHjRq1YsWK9evX" + "l5eXQxwoOzs7KSkJqp6CIMD9Z7VabTZbcXHxyZMnwd0dDsf7779/7NixPn36QNBBCIpGQjMJ90LV" + "sRUKhd/vb2hoIIRERUXBJQpZbSYoM0hDTmnnU1sRAOKIfkBUOC5N68nAMYfaXrSkDA5UKBQGg4Hn" + "eTQS1jgUS6G2Nwha0oxCOmggnMDn8zmdzkOHDtE2QPzp+PHjJ0+e/OKLLzp06BAXF3fDDTfcfvvt" + "IEBSxvv1BPplEtFHKyFiQUvuyWQys9ncXD6k/QFvToSwH5lMZrFYaDWlsIMXla7E+CixH/LLoSYS" + "OgTGGLvd3orni1zAruDz+VatWgWVZ6VSiYriKpXK6/XW19erVCq9Xp+amtqtW7fOnTvv2bPHarVC" + "NgbMae3atQ0NDXfccYdOp+vdu7fZbIY9CRU6r8mticNTunXr9vDDD3u93k8//VQQBBQoJcEq10j/" + "Bq1BuiL+hR663W5ftWrVTz/9JDbkIBbK7/crlUq3200JBLS5MV6BjrDBcq2IA3O73RjH3G43uA46" + "BDvLgtVDaTI8iAtuBxfC0MdxHI07FIIC39gNbAnnwf6UCZGg0RqnAhmigwbCfSC6DTE8cSQTrLl1" + "dXWoXXPy5Mlbb72VtEHsWkQMYb8daDSasK/DJLQCavWhMhXhnTyEYMHkMLZBDD5YZivcDfkv8KTw" + "1OCPi5y+CiPoajvcDfkvfD6fzWa7aPA+ajuICZDX6/3888/feustsZML4TL4LhAXolQq77zzzjFj" + "xixbtuwPf/jD66+/bjQa5XL53r17P/roI0hB0tpnRqMRkzHc3NewlwRBqKysXLZs2YoVK5CtyTBM" + "Tk7OnXfeGRMTgwA+mHJ5nrdYLC+88EJ1dTW4CFhFSkrKggULNBqN0WiElQj7OxwOuVzudrtxrE6n" + "A51CFXdoD0IFVKPRIPzfYrGo1Wq1Wm21Wp1OJ4QqUDGQ8r9AIKDT6VBVFLYf9BLq2oJoWq1WkKf4" + "+HhBEEpLS1E0DQYhs9mM0CXsA4sOmq1QKNxuNxiqRqOhIt2EEKVSyXGcxWI5fPjw7t277Xa7+EFQ" + "AgfCOm/ePPgur7nzRGI/7QcmKMYVOaOShBB4PB6aQ1RfX0/CHaju9/tdLpfRaIyc1yYlJeX06dMR" + "QoDESrV+vz9CWhU5wAI93K0gJKik1foDogUr6BalUrl69WoUCJPJZB6PB9tpThCV/7bb7ZmZmePG" + "jVu7du3s2bM7deokCMLx48fT0tLGjx9PI2dRn7WmpuaasB/x7dTX12/evPmTTz45efIkWEXnzp3H" + "jRs3e/ZsKC4SkfWCYRgkoC1ZssRqtcIKFRsb++yzzyLlnvwyXYaIItnFG6k3kLaHep06derEBHPN" + "OKcQ2wAAIABJREFU4H4SRKlhNIiHtopqZdEsV9paek5CSHp6evPbFyt3iz2POEoWrD1Mx1KYwXDX" + "r7zyyscff4ztKpUqLi4uOTk5KSmpoKCgV69eHTt2FIf5S7af6wz0hWAYJhLyLyS0AqSb4mvX6/U0" + "YTVc7WEYxmAwUGHWSACM8BGiaOJ0OunHlZCQEDkBUuEFgmDQFS2WTG9nYCnfOvsBf0UVUrqRYZgB" + "AwZUVlaiLC4hxOfzQYpdqVRqtVqlUgkjwaBBgxiGmT9/fmpq6v79+8+fPy8IwsiRI6dOnZqRkYHz" + "x8TEoConx3FXeUfUCBoIBPx+/759+7799tudO3daLBaVStW/f/+hQ4cOGzasa9euIWll9LdSqZw8" + "eXJ1dfXatWutVqtOp7vttttuuOGGkBQn8SHN0/1a+S3e0jxKr/lRYqHwS+6GULRCUMSnxW+EFg0e" + "PHjdunUMw3Tq1Kl///4DBw5MS0szm83NW3LNP22J/bQ5IPZDyyNLy9PrAgqFIioqKuyhWrCKR064" + "GI1CjRCSgZmSCdZ4IpHklQsjaKXeCHlMSLxqvT1yuRylWMVznsfjuffee4cNG0aCT7m8vPy99947" + "d+7cPffcU1hYiA+EYZjMzEx41m655Zbx48c3NjYSQmJiYvR6PT2bWq2ePn06oluEYIW4K7sjnMHp" + "dDqdzk8++WTjxo0VFRV+v79Dhw7Tp08fP358x44dQ0SYmiMpKemxxx7r2rXrSy+9lJqaOnz48Agx" + "1LUbZDJZ3759X331VZZlzWZzYmIiQogYhsE406ZXl9hPewC2RPinI2cmk9AKUCk67JMHz/NarZYu" + "fMMO1AAJCc4II9AzDMNotdrk5OSQ2ky/WdAQV3H97TCCERU9vdA+cEXZbDZxMLJSqYyNjaWVVgkh" + "3bt337Ztm91unzNnTmxsLD0zIcTr9SLkRalUQrYUwTF0EpXL5QaDgQS9SFiRXtkUC+a0devWd955" + "p7S0FJceNGjQI488kpmZCXGj1vsfgRBms3nOnDlLliwxm80JCQlX0JLrGjKZTKvV9urVi8pX0sKr" + "7fAhS+ynbUG/QAiy6fX6sGsHS2gF+OQCgYBWq01MTAx7tA2iESOH/fA8HxUV5Xa720iB43JhMBjw" + "fSG9FroyEcLMwohIyFUUgwlKGLfeJLlc7nQ6xY+veV1bnU6n0WhUKhXSvMW7ib2xIX8iv8y4hkg0" + "vGxUT08cZ0MDVrA/zcdGBXKn07lv376lS5ceOnTI7XYbDIbU1NQJEyZMnjzZZDL5/X6ZTHYpHwg1" + "6yI8GUapix71awJNFsN/mz/uNoXEftocSEtB1uJv8P2+vgBpDSRWYDYNe9yPz+eLHHs4wzCowh0h" + "DibI1gUCAZgNJN4DIJc43K34P9DU7lb2QZblRa3jYAw6ne5qNBc0Go3f71er1chscjqdDQ0NyAZH" + "7LxCoYiLi0O5DCIqIO90Onfu3Llx48Zt27bV1taqVKqBAweOHTt28ODBHTp0QJjgFUzearWa6pVL" + "E0S7QWI/7QF8sTzPh1QwlhBpcLvdiJkIBAIokBReCILg8Xgih/3wPB8TE1NXVxch9kur1YoJgwr+" + "RggtCy9Q3rx1cZ32BGIfaf5Hi0B62kVjZRDQ3djYiLzrK24Py7J6vV6lUnk8nm3btn388cdg0iSY" + "wdSvX7+FCxdifxgXi4uLly5dunfv3traWkJIYmLifffdN2TIEOqxQloTTn5Z7dFqtdAourLbkXBl" + "kLq7bUGzNGGQj5ySBRJaBJ1KxYmmYWyP3W73eDyR89pAh41m8IYdqNwOw2p5eXlubi6mEEGkbEtE" + "kuvQWKPyazgJzUamP2ClgIlLXKWBPgJxWi8JBvYxoupaYjeK+F0CaAYynSbFe+Ki9F+a50ybRHej" + "RaPgWqKZ0kiwYkRC8+F9eRCOc1FtZdA1sZ83EAh4vd7S0lKr1QpfUmlp6ZEjR1wu148//oiKpAiv" + "EYLizpdyp3gKXq8XZp6OHTv26NHD4XBAj4cQYjKZRowYgeAevF3Lli1bunRpXV2d1+vVarXTpk37" + "/e9/bzabm4sYXUGsFSSqQ+rbS2hrSOynzQFnCgamCImWkHAhCEFt7muuLXFl0Ov1fr+/sbExEhpD" + "CAkEAg6HI3JM9PX19QhOZ1n2yJEjAwYMEGuT0OBWOq9AVzck/BaOToVCEQgEoPDGBAtFieUqQsiE" + "OI6EghHV7sYWGtuBjTgQqjaIVYKhUVzJgZoQkNeNtGo40GGY5DhOrVbThsGfjsRSQgjHcdu2bYON" + "JEKshgivad3yjVcLDia60ePxzJ8/f8eOHZQpIppYEIRnnnnmpZde0uv1Go2mY8eOs2fPHjhw4CW+" + "k/B80XIZPXv27NmzJ5gT5ZqIiXY4HIcOHfrXv/61ZcsWj8cjl8uHDx8+derUoUOHXkPRB5ZlEcYU" + "IZ/VbwQS+2lbYITChEEkreeIBxZhmIoiwbyBWFG9Xh8574zP57to+Gq74ejRo4QQyO2vWLHixIkT" + "JMgzsAPICuZdGhMN5gHaAb6CuRlSeJjVXC4XzEgwRcAjQz04OBudpwVBQPUPRG+AiDDBEgQ4UMx+" + "dDod0oIQeOt2u7FGEoIVW1mW5TiOZVmVSsVxHOJtkd1ttVqRYUQjVJAo7vF4cHUYSyCIHJaH0hzU" + "ftbKPjzPw0wudti53e49e/ZwHEeD2fFkEZbHcRycsGfPns3KyhowYMAltsfj8SB7kTpMaRsodfb7" + "/QcOHFi1atW6descDodMJuvRo8fo0aOnTp0aFxeH/a9VdLnb7cawEyGf1W8EEvtpW8C+SlVo4+Pj" + "pfc7kkGz3KlbIbw5epiqI6FQJW1PU1NTTExMuBvyX4BegI643e5Dhw6JrTV0N7FBCDMc1tmYvag5" + "R/ybEALzALX0ICSWMifxdEXJFiZp+rzEE3YIcJ6QjfTdgxGC/qDiPSFquUJQmZeG65Kg4wwsSq1W" + "R4IVE41sXSgBjQ+JfTEYDGlpaceOHaPSBtSViVwtPAWtVpuVlYXn5fV6sZ16CcXeTxh1qqqqqBUT" + "HJG+NnhYRUVFX3755Y4dOywWi9PpTEpKmjJlyqhRo7KyssSOreaV1XEeWgyLPgu6f4s5iTExMSDN" + "kbDi+u1AYj/tigiR35BwIdD6fwqFIjo6GpmxYWwPYg4iYQIDGIaJj4+PHDYGeTQSpK2UpjAi/UMS" + "jOyh7EEQBCqFjEwfQgjP87CpoFYRchSotYZhGITZwj4Biw6NUKEPSCYqSUv/bd5RdF6kao20pjfT" + "rB4ONUMKItCro7UwAtFD4BrDpZOSknBIGGdWtVqt1+srKytbCf0BiQlJVWMY5o9//OPs2bPT09NH" + "jx6t0Wjee++9QCAwePBgrVa7bds2QsicOXNGjhzZqVMnfKoYYx0Ox65du2w2G8/zMJ2izysqKrZu" + "3WqxWARBgFA45a+Iy3S73evWrXvnnXdqampg51MoFM8999zQoUMFQQgJyg55sngiGEPwQsJxiWEE" + "rrQLjSd2ux1W1SvuZAmXC4n9tC0w6ERO8oWE1oERik6iYZ/jbTYb/CNhbIMYPp+vsrJSrVaj3kW4" + "m0Puuusul8vV1NSE2Uuv1+t0Op1OhwyahoYGuiceKALvEAyEfDG4lvCgDQYDXFGYtxobG71eL8xv" + "cEI5nU5E78LNBGU2QRA8Hg84ChMMGILFF1QGh4gtPZQk0R/Uv0ZtQoIg0IIMNKwHYn2wE+h0OkKI" + "y+VC7hI8Ythfo9HU19fLZLL09PSuXbui/mX7PJELQSaTwYF1oR0o7ROzNJ7nNRpNXFzcww8/PHr0" + "6MrKym+++WbYsGFPPPGE3W5/5ZVXoqOjZ82apVAo6FHot7fffvvLL7+kniz6OaOYBixG4uz6QCBQ" + "W1t75syZpUuX7tixw+VyqVSqrl27+ny+qqoqUMmLVmmFkfjEiROLFi2aO3fu0KFD8UQ4jnv99ddP" + "nTr10Ucf4XUKORCsKBKWE78pSOynbUFdyDTOIOwTqoRWYLPZSDBMASu28D4vu92OlkTIayOTyerq" + "6tLS0sI+mwLJycl/+ctfyC/VhGlHNf9BflkKo5VeZYKZWdR4A8cEdanQMwiisGhGlPZFXWZMMFxa" + "fHIiqltJRFlpF7IV4TWgbhrySzcZ88tUf0zzMClFgvIhlNNR7OJC++AeQ5K25HL5zz//HBsb27Vr" + "V4ZhLBYLx3F9+vRRKpVer7eysrKwsJBSH3y2DMO43e4zZ854vd7U1NSePXtSMxgYZF1d3Y4dO6iM" + "Fs/z9fX127dvX7ly5dGjRyFnlZWVddNNN40fP37//v0vvfSS0+kU54G2jujoaIvF8t1332VnZ8NQ" + "evbs2X379sXHx1/Ihw5VC8nt1c6IiCHsVwxBlMiKsnzhbpGE1uB2u0nQ9x8JqzGj0ahSqcS1isIL" + "hmE0Gk3kDNMhD+hSGtaK5yIEIXMVzScnF+BVrbShRU4TsnPrjZHJZNTY1uIthGykO0fIwxIEwWg0" + "tkKahWDKeghNXL16dWxsbEJCgiAIe/fu1Wq1cXFxDMMUFxdbrdbY2Fhx8A06Qa1WQw7xzjvvnDhx" + "It0B5NjpdE6ePLmhoaG4uLikpOT48eMrVqw4evSozWYTBCEpKWnq1Kljx45NS0tTKBTl5eWwWpGL" + "PSAS7Or4+PhBgwatW7euqKhoyJAhhJDTp0/X1tbOmjXrQuyHYRi/3y+tjdsZEvtpJ8D02vrqR0LY" + "QfNdabbOJS742gh+vx+2erruDy8YhoGfSHqNJVw6OI47f/5865I/CHsK0XoOBAJnz57t168fFgBu" + "t7t79+7du3f3+/0bNmwwm83iEmBEZE4LBAIGg+Hmm2+Gf5CIVJSMRiPYzOrVq3/++WebzcZxHPye" + "BQUF8+bNQwA16CNCzi+31Mxtt93273//+8iRI0OGDOF5/sSJEwqFIi8vj1yAQimVSpvNBvPYZV1I" + "wtVAYj9tC3yNIPUcx0kBQBEOxASIU4TCDpZlIUIT7oYQQoggCOfPn09JSZHKaUm4dMCfpVKpWk+f" + "pKKR4gNfeOGFLl26EEIYhpk3b57L5dJoNCzLzpkzp7GxsVOnTiFnYBjG5XJBybB5aBrDMMhpgKxA" + "TU0NZA5ycnLmzp1bUFBAo5VxKogI0MgwBDA4nU5agVwQBFSwF99aTExM7969161bN3nyZLVavX//" + "/htvvDE+Pv5Cpp3z588nJCQgel2y/bQbJPbTtoCzGTZV5pdCXhIiEA0NDXSMw5AX3vEItp/IGRbx" + "DkN++hqqvUn41QNur1beYZlMptPpIOdIN7IsO2LECPpf1G/H74yMjOYnQQYcPhnqqQyJ+kJQFCqB" + "MAyTmJh48803T5kyJSUlBe5FJpi+x/P8+fPnYf7BWsjn8+3atWv16tUcx8GLh0pho0ePLigooOsB" + "o9FYUFDw97//fc2aNRkZGQ0NDXfeeSci7lvsASQeSlUg2xkS+2lbUIUJQoi4mK2EyASsdCRYPSDc" + "zSFYg0aORDiSpJAQHu62SLhuoFAoWJZ1OBwXLXTq8/ku180kBkySPp/P4/G4XC6Hw9Fcm0qhUBgM" + "Bo7jVCpVXl7e3Llz+/bti0+s+RoDaRBilJWVnTx5kiaERkVFmc3mEE1tpVKZk5MTExPz8ccfd+rU" + "KSoqKisrqxVzKZS7JWNqO0NiP+0BOLyQExshi3gJLYIu8mjuSXj9XxAFNhqNEfLOMAzjcDjgegh3" + "WyRcN3A4HHV1dUhba2U35GRdDQnAAAvPFxMs2Ee/Hap7tGDBgq+//vqmm26CZwreKyEoHUnPxvO8" + "2WwWf3per/fOO++cMWOGONWOpgeKW5KSktKlS5fTp097vd4RI0YkJyeToMRl829Zq9VGRUVFgoTE" + "bwoS+2lbQIOV6ly1/vFLCDugToZVGooBhddZSVVJIoQ0IxgCGjbhbouE6wYqlUoQBLPZ3MrXhHTC" + "qzSBoCQI6AhkkEIugY9o0qRJkyZNat6AkC2CIOj1eiFY2IQQAr2l5i9/yH0FAgGj0Th27Nh9+/bx" + "PJ+dnU0T2lv8it1ut8Ph4DhOCo1oT0jsp22B5Q7iNsTlhyREJmjBHQi2hrs5/437iZy6WgjBjoSe" + "kXAdAfE0l5jxejWDJKgPzsCy7NWQCaglQQ/6co+FAQkZZG63e8yYMa1/vxzH2e12juPAriS0DyT2" + "07aA9RXy+bQIs4SIhRCsy4MHF3aji9VqFUfNh6sZFCDxqLMdIfXDJUQ+EIhzKd7SixZDbR14PzHe" + "Xv33C+HEKzsQdqMZM2bQUnStR3zDP3Bl7ZRwZZDYT9sCjmRkGRBCqHS9hMiEwWDAyEUrFYS3yqnb" + "7Xa5XKjJEAnsB0UeoMwW7rZIuG6AVCyn09m63g8Eb67GYAPDLX6LK8Nf2an8fn9BQcG+ffu6detG" + "a8BdyrHUxXaJeZFKpVKlUkWIfvpvB1J3ty0wlUIjXyaTRUdHh3c2ldA6QFIjgWcARqNRo9FEjkgm" + "5E+kRaqEywLCjS/qwIV1/GreLhh7kDh2lfkKsP5mZGS88847bc1LIKgoeQbaGRExpP6Kgfg7Wn0Q" + "1RDD2yQJrQD1RCOH/Wg0GiZYoDHcbSEkWLwTCHdbJFw3EC6h2DNlLVf5avn9frfb7fF4rp6jUz8a" + "Cu21nb0Teu50mpDQPpBm4jYHeD0+HovFEjkzq4TmYILFJqlQU3ifV21tbSAQsFqtYWyDGFidy+Vy" + "KTlFwqWDYRitVmuz2VphNsj5ukoGAM8X1DivPs4SITsymQyFVNvCAoQoOq/X20bnl9AKJPbTHqAL" + "dyqmJyEyQS1zsNKHXfMQ4aKRU+UUlUCk7EUJlwWZTOZ2u2kx9gvto9Fo1Gr11ZAAfK20ptBVfrw0" + "fIcJ4mrO1vqFzGZz5Iia/kYgkU0JEv4PWOpBXpkKHoYRarVapVKFlHIMI+x2O+rWSZ4vCZcOaA+2" + "TpoFQfB4PHK5/GqINay20dHROp3uuoiwhHoW/pVKx7QzJPbTHkAoH/InYfu5UApPixtxSIt1N8V6" + "xK1oE4uPbb4b/U1XZlS6lG5B+0POE/I7pDEYxcSrvZB9QvoBwjat3Kz4kNY7UNww8WAasvQUXwLn" + "VCgUNPAZhRLDS4DgY4qcQdxut8N+Kdl+JFwKQEd8Pp/X642OjkYCbIu8BLuRZh/pFQCDVeSXzcL4" + "4/V6A4HA1YdpS7hcSOynPQDewLLs6dOnxdubv+74bulRdDexDo34T3QSCiEidGdatYqeBD9IM4ZB" + "/yuIqhkTEZ+gWyBfIT5WTHSgVS+uFEFPi1Y1pxRizyDCDMkvGRIdEBE+FbKD+DwtnlncXfQuqH6r" + "+ECj0UiFniGyTMIKhMlHzqIQi3ilUilZ6SVcFPicBUGASZVlWRo+jFh+8c7U83U1XB8jrUajgbr0" + "Vd9Bm4MOy3q9Xor7aWdIcT9tC5gQoNridDpPnjx56tQpEiwjJWsGLKxDfMxgTiQYNiQeNXAS7ADD" + "MrUwUwqCLRh98F+xTwfaNhiPQi4K4VTxdtghEAtM24yr04rKMORSngEyJPagh9wy3YgDQa1gd6EH" + "+oIghMA23mLv0RukoJdAr+K/CoUCIQh8ECCFHo8nNjYWDQgEAtckdOAqgdkicoZFVPhCq8LdFgnX" + "AXiet1gs33zzTWVlJRY2gUCAZdnm6wqGYbRarVarvZrL0eHC7/e3eJWIArWm8zzfeki4hLZApIyq" + "v1bApFlZWalWq3meP3HixPTp041GI0aB5vvLgyBBgwqyGJBigz/BPYQJ2+v1wq6j0WicTietEYiZ" + "vr6+XqlURkdHG41Gt9vd2Ngol8sRSgKuoFKpIEKt0WjMZjPO4Pf7XS4X/NBQKoJwqsvlcrlcLMvq" + "dDqdTqdQKBACwvO80WgUBIHjOOyMAQ47gLJQkw9ugRqEIJ2H7WBgUVFRNLmUxtjSTBDcu9PppAra" + "1LcFm4RYKxbEhed5t9sdCARAevBEiIioCYIA2eL6+vrt27dTuoZ2krASIEEQXC4X2EYkmPHR/1VV" + "Vfv27UtISMBrhtcVD0utVjMMg7BTamhEf1IKjh6mvBMPghCC14kErX0qlcrv96OmEv0TOgH2P2rd" + "VCqVeBPgPhCb9CghRgUoEHpspK+ZTCaDTYtaHehHJF4k4I7QbLElFdvpTEYIwbyLu6P3SE8lDm1B" + "e+jDpZYS7CP+arC/2ChL9xcEgb4huBaaRIJjCO1esemX3imeYIiME/bE+cX9ST9wuoV2BV0s4YPl" + "ed7pdO7Zs+fNN98sKysTBOHAgQPLly+/8847W7T90MHhil9OEnTZNzY22u12lUpVW1uLkYq+BiTo" + "DW/+ZOlzF1ryrdOHywRN2s33CTHAU61FJphJStuA3+jws2fPer1e+Nwj5DP/jUBiP20LqOJCqxeG" + "ELvdbrPZqHEixBNEv0zxpE7PRicSn8+HiZwE/VCEEHAO8axDCJEFXWDUOEQHX3p18suBng6CtJEk" + "OEZgzILbHjMQLkpE4yD1stGT4N6pMYYEld3FN0uCIxfaSQcLEhwpxH1CgsYz8VUA3L7P5xMfwgfr" + "/hCRbxGnxeXovdOZDBMqsrvDOB6p1WqNRuPxeCJkTKytrfV6vcePH1+4cCHiKliWRXFKl8sVCAQM" + "BgPLso2NjRjQGYYBg2FZluM4cCM8aMyRlIjL5XJwF0Aul+t0Oq/Xi/Bzv98PnXS8QqiIBBbr9Xqj" + "oqJUKpVCoXA6nfS1xOuhVqvxG58MHJq4KJXzVigUWBjAIoiupvHvuHGGYTwej0qlQpUPvFT4Bt1u" + "t1KppO82z/NKpVKhUHg8Ho1Gg+syIjMkGCReTqxA0GYmyBp1Op1er3e5XHQGRVfjX7/fjwTpQCCA" + "vG6e50E96SdGV0H4PDUaDTbK5XII4WBPutbCO0b5Fm6BBOWSQZ4wAkB70+l0kiAlxZxNy3gplUqv" + "12uz2TiOa2ho2L9/f01NDR5BdXX1+++/n5ub26tXr+bvcyAQwNh4NWZFPLKmpiar1erxeJ599tnO" + "nTvjieMdQzfig8JGiFBjQKN2a/yr1Wrx3mLhRAihr6hMJlOr1ViVUSMTje/E0MFxHEYSLCPxcGn9" + "DTpKHz16FLUgPR6PVOerPSGxn7aFXC43Go15eXnbt2/HlKzT6RBQIgvWdoEJB/tDrIIJ2kIIIbBn" + "YIyjaxeM2pTQ0BGquUtCJpN5PB4aTUyCC2Lxqk48cPPByB7STPyGLhDp4g/uIUa0HsWfQtogBIN1" + "KA1CV9BxBLvh7nALOANmF0q8cMv4q9hyJqZB4qgg8WKXES346NmwaJaJdNjoxIAC72F3OblcLo/H" + "U1tbG95mUGRnZ+fk5FRUVKjV6qioKEII5gawNLzbLpcrOjpaoVB4vV50I6YHdLXX642Li/N6vZhf" + "m5qaXC5XWloaIQTEAo8S8R8+nw9GRJ/P53A48DKwLGu32ynpt9vthBCe5zmOEwRBpVKp1er6+npC" + "iMfjAUNCtSbM/ZhmMAmBx8jlckznWq0WxMvj8bjdbpVKZTAYKF/H3I9DoE1H2UB9fT2+BVgaKisr" + "/X6/VqtF2FYgEIDBVa/Xu91uq9XqdrvxmuFDgKkVnIzjOJ/Pp9FoXC4XCVojYNlFNwaCEIKWLdA4" + "+s0SUZQeXnJ8NTgWV8SXjhPir3SjeK3CB13M9AWgBIt+5qBiaAllDxgo8AO/QSubmpq2bt2anZ3d" + "ok9KuBZiCj6fLyoqymg0VldXb9iwISSRgud50E0qPE1XgOLBjTrTQdZpoS5x2+gJxQskOqbRu4ZV" + "DF0hBE2DRKQA6fV6dTpdTk4OXr8IWef8FiCxn7aF1+s1GAyvvPLK+fPnnU6nVqtFNqZMFBRMCLHZ" + "bNhfoVDY7XaGYbCwQEltjFkYtjDKwEWFFRsmDCzv6uvrsSghIqOR1Wo1Go2w/JNgIK3T6cSUwIsC" + "lmmUotPpxDCHq1MFeoyzGo3G7XajADIWuEqlEoRMrVZjT4/H43A4nE6nw+EQBEGn06FIAk5rsViM" + "RqNarUZlY7fbjTEUtIYSPqvVGhMTExsbC7k/zJ2UcimVSky3sLF7PB4EDVitVkqtMCsolUqPx2O3" + "28Es6bSB9a7Y3o4G+Hy+bt264V7CS4DAXPV6fYSMidHR0UuWLMF7gp4HQWFE7iQSZNjoPbxR9L94" + "D8G/Ke9kRM4aMRenniMSDLjGVE1E1JxyXBRexYRNXWOUjtPVAglSGbFjjlJkGEtoe8RGQdwy9c1R" + "4h4ykYsnOepFpSZYJuiDo0ScEOJ0OmGfwMLG5/PJZDJ8tkqlEtdqaGjQaDQgB36/H7XHtVotTB0M" + "w0RFRYEv+nw+fFa4IxgewMCamprw+cOAxHEcjNNarVav12PwCYiCC7EqA0/F+gf74yroE9BfUEa8" + "Ekql0uFwNDY2VlRU7NixAwyeUoHk5GRZS8VH8UXDHnM1r6hSqezfv/8dd9zx2Wefmc1mWByVSqVe" + "r9doNLGxsampqVartbKyUqVSxcTEgM46nU6j0YiBDo8eJMntdptMJp7nYc0CedJoNDA04hCLxYJL" + "i+1tLMvq9XqkubEsazAYQLLR2yQ48vv9foPBkJ6enpKSEiHf+G8HEvtpWyBbR6fTZWRktLKbOIPG" + "bDZf8eVSU1Ov+NjfCKhhSQxxZAPHcSBSGPTDC4fDAStChCwKaXg7RSv5aCF60Pgv3Yjz0LM1F48O" + "2eGiiW8XSkNrUZb6irWqL+tAxJOFoPl7Jb41+tcQJ0gr4cApKSmX3qT2hNPpfOmll7755hswNoVC" + "kZ6ePnDgQD6Y1ykGPj0EC17xFcEvtVrt/ffff//9919d8yX8yiGxHwkS/g88z6vVaoSnhLsthBCC" + "gJLIaY8ECZcOtVo9e/ZsjuM2btzY2NiYlJT0xBNPREdHt2j7CQQCTU1NiO6SIKEdENEJgRIkXHMw" + "LaXK07/yzfSTwguTyYSQ4QhpjwQJl4Xk5OQnn3zyySefjI6OHjRoUE5OzoWqx8jl8vj4eFmDNRty" + "AAAgAElEQVTEFPSV8KuHxH4kSPg/IBYSCHdbCCGE5upHSHskSLh0wE8aFxc3dOhQs9kcFRWFDL6Q" + "JQegUCg6d+6MyKewtFbCbw0S+5EgIXLhdDpdLhfi0yVIuE4BFTEkQFxoH0EQ7HZ7YmLiVQoeSpBw" + "iZDYjwQJkQun04k4UMkdIOH6BbQDWk/m8nq9VVVVYm0OCRLaFFLUswQJkQvo70merwgE9BRIUHiT" + "CdbXu4aX4JvVCRbn4VNxAXiLWkxGQ3Y9/8vqgVTn5tq2thUgu56KJ7UIQRCoDFL7tOqigB4BL6o8" + "2G49JqEdID1LCRIiF9CtkaKeIxDQv5GJSuld82eECH0INlJ4vV6oXomFAS9UOYdlWbfbzYtKLkDK" + "qJ1fJ6gFXlQ2nWEYlUoVCUoTRFRzntalb/9+k9CmkGw/EiRELiCUEu5WSGgBgiA4HI6Ghga32y0I" + "gsFgiI2NvUqlPjEgwYdiC+KNKIdJmQTP8zqd7kJaRw6H49ChQxaLhQkWGDGZTNnZ2WazmWlWaavt" + "IAgC5EZb0fKBLS1yDD8kqFx/8uRJs9mcmJh4oWw1CdcpJPYjQUJEA+K/0rAbIRCC2Lt373/+85+i" + "oiJI/aalpd12220FBQWCICB4RRDVp7vc8xNC1qxZU11dPXHixOjoaPrX6urqF1980el0ajQanFal" + "Uk2ePDk/P58WDxZXXTh48ODjjz8OfXYQpo4dO86dO3fIkCHXkKhdFH6/3+PxQH66lX1sNhv0rNut" + "Ya0A7PDkyZNPPfVUXl7eU0891aJMUYtwu90ul8tsNotlVFHxDfrjbdx2CZcEif1IkBC5kEINIg2o" + "91JXV7do0aLKysq4uLguXbrodLpDhw797W9/UygU+fn58EnBadWi3HPr5+d5fsuWLc8//3x0dHSf" + "Pn3E7EcQBNQQdTgcdrv9/PnzMpksLy+PEIIirOLJVRAEl8vldDr79+8/c+bMqKioQCCg1+s7duzY" + "ouJ524EKN7SyD7SeUTG33RrWClD05uzZs5WVlUjUv3Qiu2nTpl27dj3wwANJSUnYUlFR8f7772dl" + "Zd1+++1t2WoJlwGJ/UiQELmAyjMiaiXzTyQAZcy//PLLM2fODB8+/P/9v/9nMpnkcvmRI0cWLVq0" + "Zs2a/Pz8hoaGI0eOBAKBfv36XS77YVm2trb2hRdesFqttNgf/WtsbOzbb7/NMAw40NSpU7t06QK+" + "VV5enpaWplQqfT7f3r17c3NzFQoFHGf5+fmFhYU0gExcYqx9gMjri/pwL4thtDUYhlEoFKjAiCir" + "Sy/5V1tbu2nTptzc3EmTJmH1snHjxh9//LFr166S7SdyILEfCRIiF7R0qMR+Igder3f79u0xMTEz" + "Zszo0KEDIUQmk+Xk5CxatEij0TgcjjfffHPTpk16vd5kMi1cuLBPnz70QFRyoJVfUYCTWjsYhrFa" + "re+++y7HcWlpaQ6Hw+PxoGomdkAlVEKIXC5fsmSJTCabPXt2amqqxWJ55JFH/vrXv/bt23fXrl3P" + "Pvvsu+++m56ejhDpvXv3du/eXaVS6XQ6g8EA2w8Ks7dPj6GcKm38hYBasG3XDHxE4vrH4lS45vvD" + "GYfqY7SeLk24Q+CXwWBovjgZOHDgxx9/XFJS4vP5wEdPnDhhMBiGDRsmUZ/IgcR+JEiIXGBU5ThO" + "oj4RAjwOq9Wq0WgyMzNJsNq8QqHIyckhhDQ1NalUqkceeaRz586vvfba7t27wX58Pt/GjRs3b96M" + "iuuEEIPB0LVr15kzZyIkGXnpmzdv3rNnz8yZM9PT01977bUQZxYgCMKZM2dWrVo1cuTIgoIChmEq" + "Kio4jqOqmD6fz+PxKBQKjuNYlt25c2dxcbFardZqtfn5+fPnz7/6UuqXBZ1O17w+bghQ4x1V5dsI" + "oFZVVVXr16+3Wq1ZWVk5OTlwLLIs29zFLJPJkpKSwBppkDiYayAQOH/+/PLly+fPnw/SJvbrJSUl" + "DRgwYM+ePTabzWQynTt3rqysbPz48UlJSRHi15NAJPYjQUIkA3EeEvWJKGAihIIf3QhjAMw59957" + "r9/v/+yzzxobG+Pi4rADXGYMwzidztjYWBSvjYuLo9YOmUxWUVGxbNkypVLZq1evU6dOOZ1Op9MZ" + "UocOs+/y5cs5jpszZw48azCuwMFkNBqpiSI5OVmhUPTu3XvOnDmwM6HcBGnfSna0ukUrbzKNEG+j" + "hsF4Qwh5//33V6xYQQgxm819+vR59NFHU1JSWmwYniYhRKVSUbsU1Q44cuTI6tWr77jjjvj4eLFL" + "kRBiMBjy8/N37dp16NChwYMHl5SU1NfXjxs3DieRzD8RAon9SJAQuRAEQavV6nQ6yfMVOVCpVCqV" + "qqmp6eTJk71795bJZIFAwOPxfPDBB4IgPPTQQyqVqrq6+tChQ3V1dTU1NThKJpMNGjQoNze3trYW" + "thCTySSTyeRyOVVN3LJly6FDhwghCxYsqK2tVSgUixcv7t69e8eOHXESuVzu9XpLS0v37NkzePDg" + "lJQU+LA4jvP5fE6nMxAI+Hw+mpsdExPDsmxubu6QIUMIIQzD0Hm6PaPpvUG0zmzQqjZiP4jjIYQc" + "PnyYdte5c+eOHz8+f/78UaNGNY/Q4nne4/EgYolqL3Ect2vXrqKiopUrV1oslsWLF48aNapPnz5i" + "bQKZTJaZmWkymT755JMbbrjh0KFDXbt2TUpKap3/SWhnSOxHgoTIhUql8ng8sBmEuy0SCCEEBp7c" + "3Nzvv/9+xYoVUVFR0dHRLpdry5Yty5cvz8nJ8fl8hw4dysjI+Oc///nYY48dOnSovr4+JiaG47iv" + "vvpq6dKlDoeDEKJSqRQKRZcuXd59910IJxJCBg4ceMsttzgcDq/Xe+rUqYqKis6dO2u1Wo7jGhsb" + "9Xo9QmdKSkpqamoeeughuMxkMpnH4/H7/bt3787IyNi9e7fX662urs7KykJwj0qlgjBjuDoNogCt" + "qwWyLKtUKquqqtpO4Mrn88lkMq/X6/f7YYZhGObs2bNPP/30vn37pk2bht4mhFDZAo7jZDJZXV0d" + "9U42NTW9884758+fd7vdHMdt3Ljx8OHDDzzwwMiRI+mFAoFAYmJiamrqTz/99NVXX23btu2mm26C" + "++wSP2SalEeNYS0mzXm9Xrw5NPaIxgjC1gXJInogCCg4tziACQ0Db0agPd4WHOv3+8UBiDRqDTtg" + "Z3EoPe0rdDKuJQ63hyFQHHqF/XFOiEy6XC65XI6WX0jO6iohsR8JEiIXjY2NgUDA7/dLtp8IAcwn" + "d911V1FR0Q8//FBaWpqRkdHU1LR7926VSnXLLbdYLJaXXnpp8ODBaWlpdXV1GRkZWq0WR2VlZU2Z" + "MgWhLUqlUqvVpqWlwV0FUe/09PQ///nPhBC/379p06Znnnlm6NChOp3OZrO99tprI0eOHD58OM/z" + "FRUVsbGxXbp0wURCCOE4zmaz/fDDDyUlJRUVFU6nc8uWLTTSmXrfwgWPxwOrSSvsB1ykqamp7ZLR" + "MEkjlEoul5vNZoVCUV9fb7PZvvnmm61bt44aNWrq1KnJyckIRYc4pEwmMxqN4AdyuTw6OnrRokU1" + "NTXr16/ftGnTI4880qtXr6SkJPHnyTCMXq/v27fvtm3b3n33Xa1W261bt8uqVyPmGST41jXfDeTG" + "5/NVV1dbLBaZTJaQkBAfH4+/QhtTr9eLD3G5XCzL6vX6EPZjs9kOHjxYUVHhcrkgtdC5c2eGYfx+" + "v9Pp9Hq9ZrOZZVlwU47jzp07l5KSAuMZDThTqVSdOnXq27cvIaShoQGRT/D5Go3GHj16QAIA4Wji" + "Rd358+dtNlv37t2rq6u3b99ut9vLy8ujo6PT0tIKCwsl9iNBwm8Odrsdqx+J+kQIMBt16dLlmWee" + "+eyzzw4cOFBcXKxUKvv16zdx4sT+/fv7fL6CgoI1a9aoVKr09PRp06ap1WrYEvLy8vr27YuFLyEE" + "i10q6YQVNgkqzfTr1+/+++/PycmB8SY7Ozs+Ph5L7cGDB/fp0weTHBScBUFQqVRJSUkZGRm33377" + "qVOnEOVjMpmef/75bt26hbXPCIKsWzc++f3+6urqmpoai8WSmJjYdo2BvUGv1//ud7/LyclZuXLl" + "qlWr3G53WVnZ0qVL9+zZM3ny5EmTJmm1WrlcrtfrZTJZVFQUjkVv9+zZMzMz02g0Hj58eOjQobGx" + "sST4NLEb+MSwYcM+++yzysrKHj16ZGRkwER3WRO5w+FYtWrVgAEDLhSZBL74+eefb9261WazsSyb" + "kJAwatSo8ePH63S648ePL1269N577+3Rowf2Z1n2L3/5y8iRI0ePHk3PIAiC1Wp9+eWXt27dCmUm" + "tVqdnJx8zz33FBYW8jy/cePGI0eOPProo7RSW1FR0RtvvLFw4cJdu3Z9/fXXTqcTLEqn0xUWFiLM" + "f+vWra+88goJmjlZlu3Xr9+DDz4YHx+/efNmhmFGjhxJq5oUFxdv3rz5j3/8o9/vr6+v3717d0lJ" + "SVJSUnZ29uVqRlw6JPYjQULkAgZhqcpp5ACrcJZl8/Ly+vTp4/P5BEGAXwnTqkajmT9//rx58wRB" + "UCqV4rTqEM9FyGpe/F+NRqPRaGbPng2Tg8FguPvuu6nfpHfv3iRIiHme9/l8DQ0N0dHRTz31VPfu" + "3dVq9fDhw0kwPregoKBte+QSAFOKXq9vbsAQgiW0/vGPf+zfv9/j8bz66qvPPvtshw4dQBmxG/WS" + "iDPPqW+Fno1upLZSajshwZk+JSVl//79crk8LS1t8ODBubm5N9xww1tvvVVVVWW1Wvfv319cXLx+" + "/foFCxZkZGQolUqe5xMSEuAVEtcg69mz5x133BEVFYWTi28Nb0haWtoDDzzwn//8Z8KECR07dkSc" + "+6V3mkwma2pq+uCDD7RabadOnS6kFfn3v/99+fLlMDUlJycfPHjwzTffLC8vX7BgQXV19ZEjR2in" + "MQzj8XiOHDmSlJQ0ZswYegae5z/99NMffvghPj5+2rRpAwcOLCoq+u677/7617+mpqYmJydXVFSU" + "lZUh+Am3WVtbW1tba7fbZ82aNWHChNOnTy9btqy8vPyZZ57p16+fUqmkLrD7779/+PDhMpns3Llz" + "b7311vr162+55ZaGhoampqYxY8bQxwRyrFar4+Pjf/e7391zzz0ffvih2+0eOnRo6yKZVwOJ/UiQ" + "ELnAmCsliUQg4AdBfYkQqwbNFbpK7T7xacW/Q84pl8udTmd8fHyXLl2QnXTFV2wjYJK70GscCASW" + "LVu2ePFi8JhNmzY1NDQ8++yzaWlpVCJI7DKDAYYEa7uK2Q81qpFgDAosbTjc7/cHAgH4YtRqtV6v" + "53lepVLdfPPN2dnZn3766bZt22prazmO27Jly6lTpwYOHAhZbYPBEKKOrVAovF7v9OnTW+lthmGm" + "Tp06bdo0cvm2W1yL4zhYYtRqdYvJYqWlpf/617+ysrKef/75jIwMQojdbn/jjTd27tzJcZzX60Xg" + "Ng2rh5tPrB/GMIzD4fj3v/+dlJT09ttvp6SkEEJycnLS09NfeOGFxYsXv/DCCzDdsSwLnqpQKGAE" + "0mg0cHXFxsZWVVVt2LAhOztbrVbDQgm+279//6SkJFjREhISzpw5g7uzWCzUb0sI0Wq1gwYNIoTA" + "UEoIGTduXF1dXZvqP0nsR4KEyAVqA4Xk00qIHFyI31x6Tairb4AgCBMnTszOzjaZTNQ00tbXvVy0" + "QgQ5jvvmm28wz8HGc+LECUznOp0O9AWZ/Gq1GvFDer0e9iSajYVTgSLQoGYE52LaBlwu17Fjx2Ci" + "MxqNJBiE26VLl0WLFm3evPmnn37atGlTRUVFVVXVd999RwiRy+UlJSXUqkTvQqvVwqDS+l0j1PoK" + "KqQiRAmJZj6fr0Wl6aKiIrlcDtcYbl+v1z/88MPwxno8npqamiVLlhQWFsJqVVRU5PF4xKfieb6o" + "qMjlct1+++3dunWjugC5ubm9e/feunUrwtQoYQIDg9YDAsPpCg0H0phrj8djs9l27txpsVgcDkdF" + "RUVJScmUKVMQWB1CW5VKpbjEm9/v5ziuqampTb8jif1IkBBxEIKorq5GAkW4WyShBVx05mufNshk" + "srS0tLS0tHa76OXCarXC7tLiX+mMS7kFHDQnT55UKBT0KJ/PZzAYYMbAjCiXy30+X4h5QBzMC+aE" + "yd7j8YDBOJ1OGOdUKhWoD8MwXq+3rKxs8ODB/fr1Gzp06A8//LBlyxYUpRcE4eDBg1u3bh0wYIDY" + "koQGXLTDaQD1ZfUYyCLS0wCx042itrZWLpd3794dznG0zWQy5ebmgpf4/f41a9bs3LkTPelwOMQp" + "WrhQeXm50+ns1KkTIYRSZ61WGxUV5fF4LBaLUqmE7DhlNuKnRghhWZbjOJfLhS3Yx+Fw2Gy2jz76" + "iNZu69u3b2FhoUql0uv1sEuBJIHkYQvOLJfLKyoq0Fqv19tGoT8S+5EgIeKA5dT27dtXrlxJrtqB" + "IuHXjch/N8A8kD8V8idENWVlZZWWlno8HkIIKtJPnTp14sSJer0ePMbhcAQCgU6dOmHmRiKkx+Nx" + "uVwul4vmHFFvDq6o0WgMBgNqrWP+ttlsS5cu3blzJ9gYHJeCIFRWVi5atGjp0qUajaagoGDIkCFl" + "ZWUffvghAqKrq6sXLVo0Y8aMWbNmKRSKiyo3UlBpxMvtMXojUBu6kDHP4XDwPK/VaumFKO0TBKGp" + "qYkQ0rVr16lTpyqVSr/fv2/fvi1bttDuIoQgOo2IBDPBL1HNl2EYq9VKNzLBVHav14toM1r+TKPR" + "0FqwJKjtqVQqoTXVpUuXlJQUJNmhqUhrh2qoIAgVFRV79uwZMWIEDfzPz88HpZPifiRI+A0BI8jP" + "P/+M9NTz58/36dMn8ic5CRJaREJCAgLAm/8Js/Ujjzyye/fumpoanueVSmXPnj0feeSRuLg4RqR/" + "iEmd2hvg1WoxhV5sn8BR1IAqk8n27t27a9cufE3QYHQ6nSUlJWVlZd9+++3w4cM1Go1Op0tPT3/2" + "2Wd79+79ySefnD9/vrKy8u233/7555/vuuuunJycqKioNo3GQ/Ng86AZVc1HAGgZnDhxAgVPcMt7" + "9+794Ycf7r///tjYWL1ev2jRotzcXHTdpEmTxo0b53Q66RlkMllycjLLsgcOHJg4cSIJ5sRVV1ef" + "PXs2ISEhMzPz+PHjYDwkyOegqwltJLj/wJDo0+F5vnPnzmaz+d57783NzRU/EexcXV1dVlbWpUsX" + "RFBVVlZCnoreZn19fXl5OYKBWrR7XT0k9iNBQsSBZVm3293Q0OB2uxmGOX78+KhRo9poCPiN40Lz" + "CtA64xQXqRCfp3kc9GW1J+Q3ze2ikz29Fr2QONanxfBYTFEhpT3pLbR1nFBMTAx0O+ndhXR7SkrK" + "okWLXnzxRUx4jz32GK0BQttGd6YngeukxfulXUfnXdqNCF5RKBQQ01uyZMnBgwfLysrsdvubb765" + "ffv2iRMnjhgxwu/3q9XqmTNn5uXl/fvf/167dm15efmOHTuOHTs2YcKESZMm9ejRg1aoxWmvbTfS" + "R+x2u+md4k2jFxoxYsQnn3yyefPmfv369erVi2GYI0eOvPfee42NjajEguJu4H8ymcxsNqtUKvFI" + "IghCVlZWr169NmzYkJmZOWjQII1GY7fbf/jhhzNnzsydO5dl2fj4eIfD8fnnn0+YMCEmJqampubL" + "L79MT0/v2rUrjGdgliGB4W63Wy6X07Iq4sednp5usVg+//zzwsLCxMTEkpISj8czdepUr9eL+G5C" + "SHFx8fnz58F+2ggS+5EgIeLg8/lqa2tLS0sxrBQVFTU0NLSpCMpvFljUYj7AZEl1d/x+/4W0BsSh" + "D06n0+/3GwwGmpKNM1yZbYCeGQHvJCinS50atOQ7rkI3ulwuuhZvfmnwHkEQjh8/fu7cOY7jMDNp" + "tdrMzEyz2XwFTb10IEtLLOIMxwfuC3P5jTfemJeXV1tb++CDD3bv3h3bURGWCYKIRI3pqVpUEhLv" + "QA9ElA/kJenG6Ojobt26qdXqqqqq/Pz84cOHo3gtQpUJId27d09OTh42bNh33323evXq+vr6L7/8" + "cvv27QUFBZMnT87MzKSqx9eQ/aCFUP1evXr1sWPHzGZzVFRU9+7dCwoKaIG5hISE6dOnv/vuu88/" + "/zyUM0+cOGGxWBYsWGAymUB0aMA1aJ9GownRjjcYDA888MAf//jHxYsXf//99wi1sVqtY8eOHT9+" + "vEKh6Nmz5+DBg5cvX75jxw69Xm+z2RiGefrpp6E4RVl1XFwcNe/xPN+xY8eYmBiUtBM/BUJISkpK" + "YWHh2rVrd+zYodFoWJa99dZbDQYDTe/w+/29evXq1avXterPFiGxHwkSIg5KpRJZJ8gvra2tramp" + "kdhPG6GkpGTZsmVHjx5VKBTdu3cfNWpUYWEhgkIuRH3ATo4ePbp06dJjx45xHJeamnr33XcXFBSw" + "QVxZYzDlI2zi559/LisrmzRpkkKh4Hm+oaHh888/37hxoyAIU6ZMmTp1ql6v9/l8RUVFL774Yl1d" + "XY8ePe67777MzMzmoRKYAmtra5988smKigokIsEHMXv27EceeeTKWnuJqKurQ941tQ2wLAsCRD0m" + "FoultLTU6/U2NTWh5/HyC8E6DDiQ5/mysrJVq1YdPXo0OTk5Ly/vxhtv1Ol0l9gSQRDEIbQ8z0+Z" + "MkUQhLNnzx48eHD69On9+/dngtXQ6G5arbZXr14ZGRkDBgxAVxcXF1dUVGzduvXuu++ePHkytA+u" + "TWcRQoLWxKioqJiYmNLS0qqqKohelpWVDRw4kO7m8/lmzJhhs9m+++67iooKhmE6duy4aNGiIUOG" + "CILQrVu3WbNmialtIBD43e9+l5ycLL5WIBDo3bv366+//uGHHx48eNButyckJCxYsIBq7ZjN5kcf" + "fTQzM3P9+vVyubygoGDs2LEdOnSgZ8DGnJwcSsvkcnnv3r2feuqp6Ojo5nen0+kef/zxO++889Ch" + "Q16vNz09PSsrC/oFNGipc+fO4op1bYG2KqgrQYKEK4bT6Vy8ePGHH36I8pnR0dELFy6cNm1aBGYy" + "X9fgef7AgQPPP//8qVOnTCaTVquF1u3ChQtHjRpFE5VDJmCYfA4fPvzEE0+43e7ExES1Wt3Y2NjU" + "1DRnzpx7772XMqfmAzd1Z4j/JP4vfBznzp3bunXrF198odVq33vvvZiYGKfT+fbbby9fvjwmJoZh" + "GKfTec8998yaNau4uHjBggUWiyUmJsbn88XExPzlL39B/lfIdf1+f01NzW233abVakeMGJGYmMgw" + "TGJiYt++fRMSEtqsjwkhZN26dY8//vjcuXMfeOABmNm8Xu9bb721Zs0aTHiBQMDtdjc1NfE8Hxsb" + "Gx0dHR0drdPpYmJibr755v79+xNCkFO9YcOG559/3u12I+Hc7XZ379797bffNplM4s5s3skkaIL6" + "8MMPX3755V69er344ovp6enYx+fzPfzww08//XRiYiLVcKKHI+Ec5ywpKXnnnXcOHjxYX1+PNLRB" + "gwbdfvvtWVlZmOmp9/NSpm1BlEVFRCYrGH19Pl9NTQ3ijs1ms8lkgs+OjgO4I1j+mpqaBEFISEgA" + "D0PmFPqWurpwORiWaDsJISCmSN3iOM5oNIrDqOkP8BJYH8VpaNTeSRtGXV0tWiKpSU+sjk0rf4k9" + "nrh6G417ku1HgoSIQyAQKC0thbaYUql0u9179uy56aabqAs/3A287oHBt7Gx8eOPP66srJw8efLo" + "0aOjoqLq6+s///zzDz74IDs7Ozk5GXOzz+dTKpVYB+OhuFyu119/XS6XP/TQQ6NGjTIYDKWlpR98" + "8MF33313xx13sCx76tSpuro6uVyenZ1N6yQIQcldcYQQ/iueBnie/+c///ndd9+53W6kLhNCysvL" + "9+7dm5ub++STTxJC3nzzzSVLlowdO/bAgQOYuUeOHFlcXPzyyy+vXLny/vvvb2xs1Gq1Go3G7/dX" + "VFTExcVBLyc2NrZ3797z58+HUw8TZPv0uVqtpq+ux+P58ccfz507FxIywvN8XV1dfX09CaaUV1RU" + "LFmyBB1VWVn5wQcfxMfHjxs3LjMz0+fzHTx4cMWKFUuWLHnwwQcZhqmpqWloaEhNTTUajXQCphfF" + "D3AUpIyJq7I/+eSTSUlJLcZsUVuaIAhdu3b905/+VFxcvGHDhs2bN5eXl69bt27fvn0jR46cNGlS" + "dnY2ZSe0wOeFOkQcKIMpn7YHP1iWhZDPhYDdZDKZyWSi/K/FfcQ90Fw5k96gTqdr3ZBGPZXi4CGm" + "WWYW7fYLlSej7kJktMmC9VDF3wK5WODdVUJiPxIkRBw8Hk95eTkWZBgWi4qKsN4Nd9N+JQD7sdvt" + "hw8fHjRoEMoPYQhOS0vbt28fSpMKgnDs2LHVq1fPmDGDCurwPH/q1KnDhw+PGTPm5ptvxjSQmZn5" + "5JNPFhUVKRSKo0ePvvHGGx6PJz4+/r777qPshxBCF7gkuK4NiRcBu500aZJKpVq1apVGo8G8UlVV" + "1dDQMH/+/PT0dEEQhgwZsmfPnv/85z8lJSVdu3YdNWpUTExMbm5u586dV69ePWvWrMWLFw8fPjw/" + "P7+hoeHll1+eMWPG8OHDYUo8cuTIRx99pNPpEhMTzWZz37592/q9gm/L5XLRyV6lUnXo0KGiogL/" + "ZUSQy+XItWYYhuZmY0YsLi6uq6v7wx/+gNRomUx2ww03dOzYEaXLV69e/fXXX7tcrtzc3HvuuadT" + "p04wpIXMoDqdjmVZu93ucDjoRpZlU1NTCSGtO7Bg1TOZTAMGDOjdu/eIESP+8Y9/QCH622+/PXjw" + "4KhRo2677bZLjKNCb3g8HplMBh/fpXXnrweQoCRB8kejyNsHEvuRICHiAJM+QkBAgBobGx0OR2xs" + "7CVa1CW0DvThqVOn7Hb7oEGDYmNjsQAlhHTo0AETISHE4/H8+c9/Pn/+/IABA8B+sNpVjIcAACAA" + "SURBVEI9e/YswzC9evWicnyCIMTGxg4fPhx+K1Rlj4+Pp1EmeI4NDQ2fffZZTU2Nz+fzer1yuTw2" + "Nnbq1KmZmZnUGiQIQmZmZnp6utfr3b9/P8dxJpMJqjZqtRox2p07d/b7/WvXrmVZNi4uDjYevV4f" + "GxuLoObjx48jz5ll2bKyMpvNRoIeh+Li4pKSEkTApKamvvPOO23NfpDY/P/ZO+/4KKr1/8/MzvZe" + "0rNJSA+kUULoNaELSkcIglyw489y9QqWa0NRlGtBFAsgIIhSroChKJ0AIYQWUklIIb1u352d3fn9" + "8Xxz7poELy0QL+f9B6+wO3vm7MzsnM88VafTIW1B0/Rrr7327rvvNjY2IosmOHEMBgMKQvf393/i" + "iSfAsSIQCKBHVUREBAQvg53mwQcfpCiqtLR027ZtfD5//PjxR44cycrK8vHxaWOQgO2R6PEUnWBy" + "6NBZ6QnMH+JRRCJRv379IiIifv/9948++qi5ufny5ctXrlyBHmH9+/f/r5WgWZbNzc3duXOn1Wqd" + "MmVKYmJi53X07JpcuXLl1Vdf9fLyGjVqVEpKCvoN3h2w+sFguhz5+fmVlZUowZUgCOi/qNfr72xw" + "5X0LBNsiVxQ8ecMDKJ/Ph6Bgp9O5cuXKsrIyjUYDG4DygC3BrgDjwL98Ph+cKSaT6dq1ay+//PKg" + "QYPGjRsHsgmsF83NzRcuXGhqaiJJUigUQlejiooKyDMiWpdkqVQKdfzMZjPMk8/nCwQCrVYLegvW" + "aS8vL8htRtEzEP3D5/M1Gg3V2joelRnk8XgqlcrpdKampnp7ewcGBgYEBEDmTqcik8nEYjEqygdE" + "RkauXbvWM5qqw5UPBZS4XK7KykqBQAAVnyEMCKW/CQSCfv36PfDAAwqFwmg0Xrp0adSoUdDGCw2F" + "bEvQEUIikaBniRuMUoeNPZ0+Wq128uTJSUlJa9asASNQfn7+iy+++NBDD40cORJ6s3OtgNsUZJbF" + "Ytm5c+ePP/5oNBpdLtfRo0cXLFgwY8YM5B9EPu42gUHIdkh4eE45j+avSMOhigyeBxkdB86jAA/a" + "nvtjp5T2EwDgh0C2Jkh61mRCW1KtnWiJPyZ8oUgjt9u9devWS5cu8Xi8jIyMzZs3Dx48ODo6Oioq" + "SiKRgN8W5tNJBQ/xnRSD6XJkZGRAAyN0b2JZNjMzc8qUKR1GEWJuFlhrIcm2paXF6XSiFaK5uXn3" + "7t29evUqKSnZu3dvSkpKeXk5VMIFDeRyuUJCQvh8fkNDA8MwEAFKkiR0hpo3b15wcPDQoUP9/PxK" + "Sko2btz49NNPa7VaGDw4OPjFF1+EMk5gmBGJRHq9vr2RANZpf39/mUxGtrZ8unr1amhoKEVRdXV1" + "BEGEhISoVCpwksKCWlpaihZ1KMsLKyVqegXpOc8//zwUvLk7RxuWQGgc0ab8MdIf7SOiCI+AEnhd" + "JpMVFxe3tLSAmxI+lZeXV1tbO3jw4IULF9bV1W3fvv3y5cujR49GiWNtfi/gVgNxeftmVDiPISEh" + "r7/++m+//fbRRx9VVFTU1NSsX79+7969UMEP2nHAlYMad5hMpsLCQuhjSlFUbW3tt99+W1NTo9Vq" + "obsZ8grBdULTNNRMYhhGKpXCu2AhRqHQdrsd2njBrNoEPBGtlQyJ1hxAmBJcGOCThasCTRIKMUPQ" + "N1wtsC+j0ajT6SAATiqVch6g0wq+Y9SnApQQXJNQLLGsrOy3335jWRbsoNnZ2ZcuXZJIJJGRkf7+" + "/sHBwb179w4ODvby8sLqB4O5X2jza4d68Gq1GlWmwdw+FEX5+/srFIrMzMxJkyaBQGEY5ttvv929" + "e7fJZMrKyjIYDCdPnjSbzQcPHkxKSlIqlbB+9+jRw8/PLzs7u7KyMiQkBJTHjz/+uHPnzjlz5iQm" + "JkZHR0ul0uLi4i+//LKxsdHLywsWhsrKyhUrVhgMBlhsSJIUCAQzZ8588MEH26zEoHhgjwRB+Pj4" + "qFSqbdu2JSUlud3ujIwMjuNSUlIuX768b9++Y8eOjRs3Licnp6CgYOjQoeAqLS4udrvdVVVVlZWV" + "EBkDa5inJmAYBoJOOvVQI/slShSCBg6XL18GNQ/dwmUymWcXLch4l0gkyH4THx+fnp5+8eLFsLAw" + "eCpoamr617/+xbLsoEGD8vLy1q1bxzDMxIkTBw4c2GGyNMdxSHDckacI1JqK47iysjLosAF7KSsr" + "q66uRvYY2AYJCzgjnnaXmpqaLVu2gJEMtqFp2jP3HqyPBEGIxWKIF4aKgmCGga5esCWShp6TRHjO" + "h/DIrkKlINGW7Y1AoKvcbjfMAbVhRnYjNFu40twerWHBgAdtywiCMBqNENcFw0LlLXjMg8rgu3bt" + "CggIePLJJ5OTk2//TLUHqx8MpsuRlpYmFApzcnKysrKMRuPYsWOnTp0KzS4g/+heT/AvDyw8KpWq" + "X79+6enpr7/++vTp00Ui0YkTJ3bs2BEfHz9nzpxu3bpFRUVduXIlOzsb7uk1NTW5ubm9e/eGKsAf" + "fvjh4sWLZ86cqVAojh8/fvjwYThxO3bsYBgmNTW1vLzcZrOBswwCZnU63fjx40tLSy0Wi7e3t0Qi" + "kUqlkNHdBh6Pp9PpoI0lx3EhISFxcXG//PLLzJkzIRMqJSUlJiaGIAgfH5/XXntt69at5eXlAoFg" + "6tSpBEEYjcZNmzbl5+eXlJSAuy01NZUkSbVaDQ/rsDjdnUATMJK10SKfffbZxo0bydYcIpqmIZoH" + "DCTQl4rjuLS0tMcff5wgCLfb3a9fP7lcvnr1apZlBwwYUFtb+9NPP505c2bu3Lkmk2nNmjWFhYUb" + "NmzQarWw4rbxpsESa7fb4d3bVD9g0QFHZHNz8z//+c9Dhw7B+g2mGn9//2nTpkVGRmo0GviaDoej" + "ubmZpmmTyZSdnb1161YwOoIzSyKRvPnmm/Hx8TqdDv3G4V1wfVosFpvNJhQKwW0E/zqdTrVa7XA4" + "oOcX2FfAhINEj8PhMBgMNpsNaiwRrXrUbreD8RK8vZ61y+F0oPgqk8kEpbq51t6xFEU1NTWVlZWB" + "fc7Ly8tgMIBmhUvLarVChDjIGqFQaDKZIIMV8ryKi4tzcnLQZDwnBnc5qKAI3Tw6A6x+MJguh7e3" + "9+OPP05R1N///vejR49Omzatf//+7e/mmFsGbAwymWz69OllZWXnzp07deoUPGrHxsa+8cYbcrl8" + "zJgxY8eOtdvt69at69u3r1AoPHLkyBdffPHqq69CQE9ZWdmBAwdWr14N8TQLFiyYNWsWxNx8/vnn" + "mzdvpml6+vTpgYGB4FOA5glQHA9ZOK4XG0tRVEBAAPKA8Pn82bNnWyyWnJwcjuOmT58+b948UEV/" + "+9vf1q9fbzAYEhMTp02bFhwcXF9fb7FYVCpVS0vLpEmT7Ha70Wi0WCw6nW7OnDkymUwmk93Now1z" + "hqq+8ArDMIcPHzaZTARBgDekjbOGaNVDu3fvBn0Ji/SsWbPWr1//3nvvge1BJBIlJyfPmzevqKgI" + "YtgXLlwolUrnzJkzevTo9r8XkiSh94KnE+oWAJMVy7IFBQU7duz45ZdfWlpawPDj7+8fERExZsyY" + "0aNHg+5BbUpBHID0HDVqlFQq3bhxI/ScFwqFDzzwQEpKCvQKba/MSJIUi8Vka8VqzqMMD9EuMxyu" + "GeTZ9KxX5LkZ19onpM3HkVEKIsyIVlEChhx0mtCl6ymb0F7QOUVyFjk3YXyj0ThjxoyrV6+CjOPz" + "+SKRyNvb28fHZ8SIEQMGDAgLCwO/4S2fpj8Hqx8MpisCFm+IXYUKHOCtwHE/dwS4j7MsGxsbu2zZ" + "suzs7Pr6eoqi9Hp9cnKyQqFA/S7EYvGiRYtApnTv3t3f3x/uyGKx+JlnngFDjlAoDAsLCwgIgDVm" + "yJAhGo2murraz88vNjaWIAh4EOfxeHASUYgoQRAwWvvCMBRFjRs3jmrt60mSZFhY2Pvvv19VVcXj" + "8Xx9fZGcGjVq1IABA0DcoId4iUSyYMGC1NRUcKOAdcHlciUlJaEQ6btmRASzh+fSKxAI+vbtW1NT" + "YzaboZI1LIFwlCDoFbRLeHg4RKWA4Wr69OkREREXL15saWkRiUSJiYl9+vSRSqXBwcHz58+HpHo+" + "nx8ZGUl5tEQFYED0awIv0i3T0NDw66+//vzzzyUlJXa7HcKSYmNjH3rooWHDhqlUKrK1jiLKZkeG" + "LtCC8+fPFwgE27Ztq6+v79+//+zZs+VyOdmuTiPSH+3jkd2t3d+QEEGfRQqJaHVCtdGCyM+F/FNt" + "bDDcH8tQec6Eay3WADq+jSvN7dGJFt71LJjEtUZqi0Si3r17V1RUqNXqsLCwXr16BQcHx8TEQMsO" + "dBw673aH1Q8G0+Xw9LLLZDKIgiQ9+l1jbhOqtc8DQRDdunVrXxwZleQhW7OECILQaDRpaWnx8fFw" + "N4dihj169GjzWYlE0rdvX9Ij4QU9ECOVg5YisAS0N+mh6aG3YIRu3bpxHplKsDwolUpUVUgoFIL6" + "8fPzQzYeCA1BPiai0+rndohSqSRJEqWeAY8//vjQoUMhfNtut1dXV//+++9FRUWjRo2aMmUK9EwA" + "4xbU8YMDIpPJhgwZMmTIEM8qeRRF+fr6Tps2rc2XotqVBgXZARoIYofBLEe0ExmeH+Q8ylSCaM7N" + "zf36669PnToFpQRomg4ICEhLSxs2bJi/vz/4E2FjONoovsdzhl5eXo899hjHcVu2bJk5cyZUPUC/" + "/TbHEOkApJ7Rxp7ftP1bxHUKT6NXkNWt/bHy3Lj9IOi4tdlpG73iOUnPkYVC4RNPPBEVFeXj4xMT" + "E+Pv7+8Zho9213mx+Vj9YDBdEXQTRAmfbZJlMLfD9daYDjcjWqsUKhSKQYMG3bjJpE3QSYcjt191" + "buQjbcZvs+prNJp33nkH+le0tyLcfTrsfabVaocMGcJxHMTAgkWqqqrqiSeeiImJ6bC/rOexQuYE" + "pAn+6zQ8jSIWi8VqtcLfbXLIYUvPDAPPDcxm87Zt27777jtow8dxnEqlGjdu3JNPPgnxRmjm7eUO" + "8cdTAFIsJiaGpmlw7d3CZUncwCnucNgb/NSfb/Nf37re9vARX1/fGTNmeB60Wx7zFsDqB4PpukAg" + "IY71ued4RlF0fUQiEapMfa/nQhCtbdg940tQd3qw6EBgL6p67Okq6rwpgSPS7XZD+JGnrhKJRJ6n" + "G2bY1NR08eLFL7/88vLlyw6HQyQSaTSa0aNHz549Ozw8nLh5cxqYlMCy5Vlz4f4B/F9tHJR3jb/M" + "7xmDuQ/x9vZmGKaxsbFNW2bMXQa1JfqrKNFbi5bo0EVy+7SRPoRHaAi01aQoqrGxsbS01GazXb58" + "OTo6Gm3G/bHh6H8F4mzcrY08PYPKQW9BmR+FQgGyY8OGDQUFBaQH4eHhDz/8MLKXkCRps9mysrK2" + "bdt27Ngxo9FIUVRQUNCoUaOGDBkCCYBUa1n2m8pLgC3hg0SnHfyuzF2QuX8CVj8YTNdFLBajomeY" + "e85fJd78luv3oOjXP/HH3QJQ3RGWeaQqNmzYcP78eZPJBEUBmpubCwoKWJb9+uuvT5w4IZfL5XK5" + "j49PXFxcYmLiDU4GMsBBVbSJMkEb2Gw20ChwNqGKIAToSCQSmUwWEBBAepTnKS4uXr9+/fHjx2tr" + "ayHMPCkpafbs2QMHDqRpGvU0vbVrA/QZjHO/SR/ihh3QnQRWPxhM10UsFtM0DZkg93oumP99YAm/" + "4xfbtWvXwLeFRrZarZs2bSouLqZpGpw+IPFZli0uLr569SrIEbvd3qNHj59++gmitm9kXyKRCEx0" + "DMOAmIPwHY7joD8XaBpUtOaRRx7xrI9MtJbY4fF4Vqt1y5Yt33zzjclkgrKQAQEBzz///PDhwxUK" + "BcjE289C4PF4DMM4nU6wWuFf+l0Dqx8MpusCD6lQLg/fFu8snvnDUASPbG0o8efWeJSyS5Kk3W63" + "WCwEQfD5fJSad5vGfPg4wzCQHo/OPkgEk8kE0bKeKyVMQygUevbScjqdkJGkVCpRv4uqqiqr1Yo8" + "SkqlUqvVCgQCh8NRXV3d2NgIMcgikYjP5/v7+3s2qL9lIG6mubkZ1Zi2WCyQb4UcRhCFA6oFKjvA" + "6TCbzbm5uYmJiTe4L5Zly8rKPv3007y8PLPZDD8fUDZ8Pl8sFtfU1BB/LHAM/heUOu52u41GY35+" + "/vr160+fPm21Wnk8nl6vHzNmzOzZs/39/QmPckG36QmFswDlkrH0uctg9YPBdF0qKiog7ADfFu8s" + "4CKB6jh1dXVnzpzJycmhaTowMDAuLi4+Ph42a+/O4FqbobpcLmgEVlJS4na7FQpFbGxsSkqKTqfz" + "9BzdrGyFZfXSpUtHjhwxGo1SqbRnz559+/YVi8VWq3Xv3r3Z2dkMw0RERDzwwAO+vr4cx+Xl5aWn" + "p1dUVGg0mpSUlH79+hEEYbfbDxw4cOjQIYIg+vfvP3ToUF9f3+bm5iVLlpSWlkKTDZqm9Xp9Wlra" + "yJEji4qK3nrrratXryJXjkQiefbZZ8eNG3f7155arW5zHFQq1UMPPbRhwwaDwRAYGOjr62uz2YqK" + "imw2W1hYGJ/Pr66ubm5u7tGjR8+ePf38/G7wMEJ1voaGhpycnOrqarlcrtVqUf1AoVBI03RjYyPR" + "GvVMtpaigcPO4/HMZvPhw4ePHj16/Phxg8EA4iwqKurll1/u2bMn9K4i7lC9AKRowR93+wNibgqs" + "fjCYrovNZoOybNj2c2eBZc/lclVXV3/66acnT56USCQ0TdtsNi8vr9mzZ0OlwQ6DOaDIW2lp6dtv" + "v11UVCSXyzUazeXLl48cOVJbW/vss89CJIfbo7v1TU2sqKjo3XffLSsrg4p/u3bteuaZZyZNmrR7" + "9+5Vq1bJ5XI+n5+RkVFQULB8+fKGhoZvvvnmzJkzgYGBeXl5WVlZK1euDAkJOXv27Ndff61UKjUa" + "zbp1665cufLCCy9YLJbCwkK1Wj1gwAClUmm3248cObJy5cru3bubTKaamprIyMhx48YplUrI0mpf" + "yujWUKlUFEVBGy94hc/nT58+3Wq1ZmZmPvvss3q93mQyrVixwmQyLVmyRCgUbt++PTMz8/XXXw8K" + "ClKr1TeoNsCZJZVKwQb2yiuvdO/eHWw/XGtzj59++mn16tWQ2IXODpysgoKC77777tixY6B74EWa" + "pidMmJCcnHxTwdc3AvyowcgHu/urBJb9b4DVDwbTpYEnVCx97izgXWJZdseOHUeOHBkzZsyLL77I" + "4/EaGxs/++yzlStXhoeHx8TEXE90chy3efPmgoKCxx577MEHH4TOTR9++OFPP/300EMP6fV6GJy4" + "pQDkoqKiysrKOXPmzJkzp7S09MUXX/zhhx9GjBiRkZGh1+u/+OILPp+/fv3677//Pj8/v7a29vz5" + "82lpaXPnzs3Pz3/jjTe2b9/+7LPP7t27V61Wf/DBB2q1+scff/zhhx/+9re/iUQirVabkpKyYMEC" + "8LYEBAR88MEHx48fj42NlclkI0eOnDp16vVk3y3TvqALy7IajcZisURERPTu3ZvP55tMJoVC0adP" + "HzjsYrF4woQJ3bt3J1obNdz4lMAt6OvrO2HCBJIkwacGdj6QdEKh0Gq1GgwGHx8fmJXVav3www/3" + "7t1rsVgg6CckJGTatGlWq3Xjxo06nc7TT3qnAO+ew+EQCAQCgQBLn7sMVj8YTNdl4sSJUqk0NDQU" + "237uLBD6ajKZjh49mpSU9Pzzz0ulUj6fL5PJ3nnnnXXr1kFF4KampoKCAqPRmJqaihYnmqYZhtm7" + "d2+/fv1mzJghFosJgpBKpf/4xz/S09MlEkldXd0XX3yRk5NjtVqnTZs2Y8YMiUQCThkej+dwOAiC" + "QKEtABJJJElGRUU9+eSTDz/8MFj+OI4TCARQ4zg8PJzP57e0tBiNRpfL1dDQUFFRIZfLJ06cKJPJ" + "oqOju3fvvnfv3mnTphUWFo4bN87Hx4fP58fFxfH5/MOHDw8fPhzMXZWVlWKx2GKxFBcXw0oP5ocD" + "Bw74+flJpVKFQqFWq/V6/R258CCuWSqVetb7YRimqKhoypQpAoEAzkVjY2NCQgJN00ajsba2tlev" + "Xjce7AyAeUYkEkmlUj8/P+gtBQYhgiAgEx7sOo2NjfX19aGhoQ0NDRcuXNi4cWNWVpbT6RSJRPHx" + "8fPnz09NTeXz+Xl5eRs3bkStam9wGi0tLQRBiMViNH/ohArVrtGFBLNSKBQQddQZAgvzJ2D1g8F0" + "XZKTk+HJGKufOw4IkWvXro0YMUIqlaLlRywWL1y4ENbR3bt3r1+/Pi4ubtiwYWjRIkny6tWrDocj" + "ODgYRBI4Vnx9fR955BGXy3Xo0KGamprnnnuusbFxx44dvXv3jo+PhwgPq9W6Y8cO6NwOFYTVanVM" + "TAycZRg/MjIyKirKZrN99dVXv/32W11d3ZQpU/h8/tSpU6HHwgcffJCXl0dRVFhYWGZmpl6vBx+Z" + "UCjUarVNTU1nz57lOC44OBjWbI1GIxaLr1y5MmTIkLq6uvT09LNnz8pkMrPZ7HK5Jk6cmJiYmJeX" + "x7JsdnZ2cXGxUCiUy+WxsbHvvfde5y3Jly9frq6uDgsLIwjC5XLl5+czDKPRaHg8HgTBdOvW7Xau" + "eVRHxxOwtbhcrrq6un379lVVVR08eDArK6ulpUUoFMbFxQ0YMGDSpEl6vR5srmKxWC6XC4XCm8rt" + "OnjwoMFgmDp1KqoWDT61v//97206lkMY2R3JHcPcLFj9YDBdmluu3YL5E0CvQKArdAwF5wioTNQg" + "s2fPnjk5OWaz2W63Q29wgiDcbrfFYqEoSqVSQaNviPKBx3qWZePi4oKCgsLCwi5cuOBwOFBHVfj3" + "7NmzV69e1Wg0UqlULpcbDIaIiIg2PTGgU6lAIICZ5OfnWywWMCSoVKqIiIiGhoaampqtW7fa7Xbw" + "CsF3IVt7NtntdugbCrOCnC8ej6fT6QwGQ/fu3b29vQUCQWxsbFJSErQGc7vdCQkJixYtEgqFJEnK" + "ZDKIcOqM489xXE1NjZeXV0BAAHxlg8EQFhYGGVVKpfLRRx/19va+ZdEPWrONpACRAf1WbTbbtm3b" + "9uzZAzl0MplsypQp06ZNCwoKQnHQECfEsiz07bpxGhoadu3alZCQ0LNnT3hl7969mZmZKGgaTdJT" + "96Di17fwfTG3AFY/GEzXBYcCdBJQfI+maYFA0NjYCOIG9JDT6czNzQ0MDNRqtbGxsampqbt3727z" + "cZ1Ox7JsXV0d5GzDMuZwOLZv3z5w4EB/f38/P7/s7Ox33303Pj4+LCwMmkYxDKNQKJYvX47yq2Ea" + "njExbrebYRiDweDt7b1o0aI5c+asWLFi//79J0+ejIiI8PHx8ff3/8c//nHt2rUFCxbs3Lmzf//+" + "JpMJtY6HvuUJCQkul6u+vh5EgNlsJgiiW7duAoFApVL17dv3pZdeEgqFkN6FUvQFAkH//v2HDx+O" + "5nanjrbD4WhT65nH440ZM2bkyJGgKYVC4aRJk0aPHi2TyTiOk8vlMTExt7nT9jVCQV6go22z2ZxO" + "J8dxERERr732Wu/evcFUg+YJqgjZom78gAwePPibb77JycmJjY3l8/lms7msrGzYsGFtVBQKPoNI" + "Ixzed5fB6geDwdyn0DQtlUoPHTo0evRoMEJwHLdnz54PP/zwxRdfnDhxIqx8drsdTDgQNutyufR6" + "va+vb25ubmlpabdu3cCltXHjxm+//Vav1/P5/O++++7MmTPjx4+fP38+GJagsovZbF62bFlxcTEq" + "rAcL/+TJk2FKFEVt3779xx9//PTTT729vVF4UH19/fbt2+Pi4ubMmSORSMCl5efn16dPn7Vr12Zm" + "Zvbr16+uri4vL2/o0KE+Pj6RkZFnzpwZPHiwRqPJy8szmUzJycksy9rt9pCQEJFI1Cakhs/nCwQC" + "CEy54xYIcDa1scS06XsKpZaJO5FMfj0vEsiLgIAA8GTRNO3l5TVs2LD58+cHBga20WeAzWZzu91N" + "TU03VcPJ19e3e/fu58+ff/DBBwmCuHz5cnl5+QsvvABns/0jzR3PJsPcCFj9YDCY+w4wDCgUiiFD" + "hvz0009ffPHF0KFDVSpVSUnJpk2b/P39ExMTwQSiVqvlcjmENptMpuzs7Li4OB8fnzlz5nz55Zcr" + "V64cPHiwQCAoKirau3dvREREjx49fv311127do0aNSohIaG6uhq8OWi/ENoCqy8k+4jFYlQGEF6v" + "rq7+5z//2bNnz5aWloyMjJCQkEGDBpWUlGzevLmhoSEgIODcuXNSqXTBggUxMTF79+797LPPCgsL" + "r169arFYXn31VZFINGLEiC+//PKTTz5Rq9Xnzp3r16+fv7+/3W7XarVqtZrP57Ms6xlqLRAIhEKh" + "SqUCF9id9bdaLBaXywVJcNcDfHa3L7xQJE37t8D5mJycnJaWdunSJaVSOWvWrL59+4IpjvhjAUz4" + "r9FodLvdnrnxN4JEIhk4cODOnTvr6+uDgoIgSOtPwphomsYC6O6D1Q8G8x/g1llVVXXt2jW4JcGL" + "UCoNUjmI1gqtHMexLAvP0OBx8BwE7mUikcjpdDocDrFYzLIstIaGDSBWA5UYhiAPp9MJ1X3gX6fT" + "CeuiSCSCEixwW4doDNieIAiYA9naSgnGt1qtDMNA9i+aGKpRC58Cyz+fz6dp2uVy2Ww2qIMHFg6x" + "WCwWiw0GA3x9SE0KDw+H5fwvfbOGxYam6YceeqioqOjAgQMnTpyQSCR2uz0gIGDx4sVhYWEQ8xEc" + "HBwVFSUWi51OZ0VFxeeff/7//t//0+l0EyZMqKur+/XXX8+cOQMLZO/evRcuXCiTySAq6PTp0yUl" + "JYmJiXPmzIGyhDRNazSa5557DpUCQpE6novugAEDBg4ceOLEiZycHI1Gk5iYOH/+/KCgoOnTp5eW" + "lqanp3Mcp1Kp0tLSUlJSSJJ8/PHHv/rqq927d6vV6qlTpwYGBgqFwiFDhjQ3EiXzgAAAIABJREFU" + "N+/fv9/hcAwdOnTixIlyuVwgEMycOTMwMJD7YzNOl8vl4+MzefLkpKSkNpO5IzAMA9fYn292p64o" + "+AogWTxlEExAJpM988wz9fX1UqlUrVajj3QYIg1pdxCvA85NiFtvaGiAt3g8nlQqHTZsWO/evdH8" + "Ic9ux44dhw8fnjJlSkFBQWJiIlTNbr8XiC4CHyX2dN9NsPrBYP4Dx3Emk+njjz8+fvw4PARzrQ0R" + "UfUz2Ayenp1OJ8gCh8MB91zYHmr2gzsfknuFQiFIE7jHwVuwJSqqhpYHCAXgWjs/e6bIQqwGdCyC" + "Z1kUcgv3UBQ7Ce4GEEYQAIviPCwWi1wuhz5KDodDLpeLRCKTySSVSs1mM4pKgW8E7h6RSAS67Zln" + "ntFoNH/18iQoxiIiIuLzzz+/cuXKpUuXnE5nYmJiSEiIVCqF00TTtE6nmzt3LpyawMDA0NBQaCAl" + "l8ufeuqpxx9//OrVqw0NDeHh4dAyguO4efPmQdUcWPLhCkF7RNHTHQIpWu+//z4oY47jJBKJWCwm" + "STIiIuLjjz8GnQ058PBFkpKSoBEEXH6QQK5QKObOnTtnzhxQXVDths/nQ9J7+6Mhk8kmT54MU73j" + "R1ur1RIE0X6/7bn9vaNDDarCU22gwcVicVBQ0H/dNRKmqP2ZQCAwGAwXLlyoqakhSVIikQiFQo1G" + "08Zk5XA44uPje/TosXnzZqVSWVBQ8OSTT0JHsz8xqv2lHyf+imD1g8H8AZFIBAX+QZeAgwDqtUCl" + "FqJV/bhcLrPZDIlCsBk8wKE/UEW15ubmlpYWiUQSEBBgMpmsVqtQKFQoFHArhCZNWq0WjAFQcRgM" + "OcjeIxKJUCNG1BgSmW0UCgVMDBZp0HDQzglUCyw8YrEYBmRZViqVEgQBAk4kEkFDJYVCAR2widbw" + "CzBiwU6hUQDSdvfi5Nx5QKPExsZGRkYiPQrp6LAagU0OvDYkSfbq1SshIQG0LxyZ6OhoZMmDUyYU" + "CiG+FcQuxDV7epr+BJIkRSIRy7JisRjOEVq/SZJUKpUEQSBRjs4OJG2B0IF/iVb7FswcXgQ1jMQx" + "0q/wevsw4TuFTCaD2sqdND4CpezdqQhiiA2H3zjRGge9fPlyqBUJP3w42p7KBrLwYmNj9+3b9+ab" + "b0ZHR4eGhsIR7nBWYJS9/dlibgqsfjCY/wDLQHBwsF6vB4MKWhLQek+SpNPpFAgEYHohPBKIwO4C" + "N18wz6Dt4V1YjUiPuvtwQ+RaG2cSBAF9Esg/NstESyC628LEUJQG3D3hjozmCd8IGaXgdeS2g3su" + "EkxgS4DIUxgKspnQTGAX/2OZKWAkIAhCJBIhIxzR6o9ARw/+1mg0s2bNIlrPAhwKsI2h5Ck4p+jC" + "gEBXNOyNzAdsDCgXGv5AUUFw8NG+iFaTDzrLcEWBTw2upQ7jaTy1CEr176Qza7VakZi7C8B3AbV3" + "yzIdjqRSqRwwYEC3bt2I1lODzjvxx/PraQqFi2H06NG//PLLlStXevbsGRgYCJeKZ9oXnF/IwO88" + "6Ym5Hlj9YDD/AT29URSVk5MjFotDQkIMBsPZs2cTEhKgLj7Il2vXrkHfALPZXFVV1a1bt9OnT+fm" + "5hqNRqFQqFQqnU6nzWYLDg4eNmwYQRBXr17V6/VgfSFJsqGh4aeffpo4caK/vz/coCGsRKvVymQy" + "dG9FEwMTAkmSdXV1Bw8ePHfunEQiCQsLGzhwIPRVsNls5eXlERERKKEX1oCrV68ePnzYbrfzeDwI" + "EpLJZKmpqX5+fuBrs1gs+/fv9/X17d27Nxg/SJK8cOHCb7/9hgrGBAcHx8bGRkREQPDv/4zhB0DH" + "uf338qxwCO+238YzdhiGauMTvKnDhSbzJ3+0H9BzG893PeePPtihxOlUUWuxWMCm1Unjtwd8ebf/" + "pXx9fRcvXqzRaIjW44YKGAIdnlw47L6+vs8999yFCxdSUlLgIvE8AuhJCayt18tTw3QeWP1gMB2z" + "dOlStVr93XffXbhw4Y033oiOjv7000/lcjlFUUajccOGDQkJCWPHjr18+fJHH3308ssvHzp0aMeO" + "HQ6HA0J2QFtERETEx8dXV1d/8cUXr732WkBAAKiQtWvXbtq0KScnZ+XKlXCvtFgsn3zyyfDhw8eO" + "Hds+pAa8J5WVla+99lp2djYyD2zZsuXNN99MTk4uKSn54IMP3nvvvaCgIDBUkCRpt9u3bdu2adMm" + "Pp+P6tVCxRdfX1+CIEiSLC8v//DDDwMDA1evXq1SqUiSZBhm1apVmZmZqNoewzBKpXLRokUPP/zw" + "XzrcB3NPQEUX7+ZOhULh7SSRoaAfHx+fW5YmycnJCQkJ6HnmesCzDeFh28PcBbD6wWA6oK6uzmq1" + "QphweXm52WzOzs7euHHjo48+Chb1iooKaMFoMpkMBoPb7X7yyScfeuiha9euffPNN4WFhe+//35M" + "TIxGoxGJRBcvXjQajTabDR4Bq6qqLl68aDKZzp07l5WVNXDgQDAp1dbWWq3W6z2a2+321atXFxQU" + "zJ07d968eSKR6Pz582vWrPnss8969uwJs4JYHxTwAc++Wq32gw8+iI+PhxBs0iM1zOVyffPNN42N" + "jRaLJTs7e/jw4ZCeA12yly1bFhUVpVKpmpubV61adeDAgXHjxkEEKwZAy1UnrVvIJdrm3w63JP7o" + "EfuT2d5lQEZ45kV2EmRr1D/HcXa7HeXW3cJQENODfJq3Nh8oKMV1VCsI2aUgYAt+klj63E2w+sFg" + "OgDdkuC/kB61ffv26OjoIUOGgIyAlBzIylEoFEqlUqlURkVFnTlzpra2duTIkehh12w2Q5wy3I5z" + "c3MrKyuHDh2am5t77NixPn36oMAdVPelDTRNFxQU7N+/f9iwYWlpaRqNhmXZvn37SiSSs2fPUhRl" + "sVigTySKdYCHV5FIJJfLfX194eEbcrhQnEddXV1GRkZCQoLFYtm0adOIESPAcUaSpFgsjouLUyqV" + "PB7P29s7MTExPz//z0u23G9wHqUNABTIdUeWMbgkIOYaRZWhAB2kulB4EFxdnlaWNtND3pbbn9tN" + "AYUV7s5+IVMBEh5v5yyQHq1nb2eQP5kDvMUwDNiJO9X5iGkPVj8YTAfU19dDZyWUDjN+/Pjy8vID" + "Bw707t3b6XQ6nU4w+cBi0yZGB3xG6BVoJwm3Y7PZfPLkyYCAgKVLl0I5/KqqKgiyBrtLhwLI7XYX" + "FRWRJJmYmKhWq+GO6XK5EhISevToQdM0eNygkB18BISOyWSqqqpasWJFUFCQSCTi8/l6vX7MmDEw" + "vV9++YWiqGefffbUqVM7d+4sLCyEyKGWlhaDwfDzzz/HxcW5XK7i4uLffvvNz88P4n4wAGhBCA/n" + "Wtt0wzJ2R8YHCY6C68F8AjKIaGdLQHlnVGuRKs+wfTCK3KuALbvdjvLgOpVOjd2+s3AexS+I1lyE" + "ez2p+wusfjCYDrBYLARBOJ1O8B/x+fyHHnqoubl5+fLl/fr169+/PxT8gMI80AYBPuh2u6FmIOSF" + "wYtWqxVq3TqdzpqamiNHjuj1erPZbLPZcnJyTp48CeoHNujQ9kNRVFVVFUmSfn5+qP4Q6scEBRUp" + "ilIoFKRH60Sn02m1Ws1m85EjR6BeosvlSk5OHj9+PLgGdu3aRRCEXC6XSqUtLS3r169///33OY4z" + "mUxms/mrr76CvYPh6umnn8bqB2G1Wjdv3lxSUsKyLJTxDQgIGDRoEKo+cJtrMMdxVVVVPB4P4k44" + "jquvrz9w4MDZs2f9/f2nTZsWHBxMEITb7b506dLevXtbWlqGDx8+aNAg0OJguTx8+HBZWZlAIPD1" + "9Y2NjfXx8fG8LO8aVquVx+PdhX69ICAYhun6RkqytaaoyWRyOBwWi+XPqwFh7jhY/WAwHeDt7c3n" + "81UqFdTC4fP5MTExPB4vIyPj/fffnz17dk1NjVqtFgqFcFv3zIeHZ3TPxQ+yjsG+ffDgwdra2vr6" + "+kcffdRoNNI0XVpaarfboSAKwzBQ37mNJZzjuOjoaM+iMmDasdvtlZWVQUFBEAQNvcGJ1iR8WPb8" + "/f1ffvnlPn36QAtJMBq53e6jR4/W1NSYTKZFixaBfiouLrbZbAKBwMvLS6vVzpo1q3v37k6ns7Cw" + "cNeuXRUVFfgGjWhubv7qq6+gctLZs2chxU+tVr/33ntxcXGehRJu6oiBCG5ubj5z5szq1asnTZr0" + "yCOPUBRlNptXrlyZnp6uUCg4jsvMzHznnXeCg4MvXbq0bNmyhoYGPp9/8uTJmTNnQiOwkpKSVatW" + "1dXVjRw5UqfTHT9+/F//+tezzz47ePDgzjkefwZUnLoLSd3IOUhRVE1NTVe+XJHbFGYLVr17Pan7" + "C3y4MZgO0Gq1oGyQ6wGe6dPS0s6dO7dmzRoILHC5XEKhUCKRePqb0NM/AsUiuN3uI0eO+Pn5RUdH" + "i0SipqamysrK48ePz549WyKRwErJtdas4zyqPBMEERsbSxDE0aNHk5KSUOn9Xbt2bd68+auvvoIH" + "+vr6er1e7xkIolQqdTpdr169NBqNZ3yu0+k8deoUtHrQarUURRUWFp47d+7EiRMpKSkQwPTUU0+B" + "fWv48OE0TWdkZNhsNlQQ6D4HjCsDBw58+OGHoT7hhQsXVq9evXnzZjhTEDB7s8OSJFlfX//222+f" + "Pn0auqCQJEnTdFFR0blz5xISEp5++ulr166tWbNm7dq1r7/++rFjx2iafvXVV4ODg3fs2LFr167h" + "w4f7+/t/+eWXNTU1r776avfu3VmWHThw4Ntvv/3WW299/PHHSUlJnXA8/ow7WH7wz0HlH+E3e72u" + "ol0BmCfUIyXaVUnA3AWw+sFgOgACd2D5EYlE4HjS6/WhoaFPPfXUkiVLPCusgP8L/stxnNVqbVPA" + "Azaw2+2XLl26du3azJkzp06dCnHTe/fuXb169S+//DJlyhSz2Xz+/Png4GCVSsVxXEBAgE6nQzvS" + "aDS9e/c+fPiwXC4fMGAAQRA5OTk7d+7s16+fVqstLCw0m807duxobm7m8XgSicTPzy8gIMDhcFRV" + "VW3cuLFPnz7wOo/HCwoKMplMJSUlY8eOffrpp2UyGUVRdXV1Tz755NGjRwcOHAgPo7ByoOIlJpMJ" + "V6RFQNEBqIQEES1eXl5r165tbGwkCIKm6aqqqsOHD/fr1y8iIuKmRpZIJPHx8QaD4eLFi3a7HUpl" + "lpaWchz3zDPP9OrVKzY2NjMz89ixY/n5+dnZ2SNGjIBI/JEjRx4/fvz06dNDhw7NyMiYM2dOWFgY" + "Kj89YcKE9PT0Y8eO3Sv1cxd2BBoLLKCNjY2NjY06ne4u7PcW4DgOkuDy8/MJrH7uBVj9YDAdQJKk" + "RCIJDg5mWVaj0Xh7e6tUKh6PJxaLJ0yYkJ2dnZ6eDlaQwMBApVKJ6piRJKlUKj2jjwmCiImJOXbs" + "mEQiyczM1Gg0kydP9vLyAsPAoEGD9u7de+XKFYIg7Hb7r7/+euzYMZFI5HA4kpKSli1bBs0NYP1Y" + "vHjxK6+88sMPP2zZsoUgCLfbPWDAgHnz5hGtCbq//PLLr7/+CiPr9foff/zR5XI1NzevXbt2w4YN" + "EMDE4/FSUlImTZqkVqsfe+wxyGDnOM7b23vKlCknT540mUxardZoNHoatNRqNaqGfJ8D1Vlg9Tp7" + "9uy+ffugDcjRo0cNBsOIESN4PF5eXt7LL7/MMEx4eDhSPy6Xy2KxnD9/vrm5Gdqm8ni8sLAwvV6P" + "GmyRJAnnZfz48XPnzoWCkwzDQOdUvV5PURS0qTKbzXv27KmrqwsKCuLz+W63W6vVSiSSsrKy+vp6" + "s9ns7e0Nw0LYVkxMjFAoNBgMd/+IQc7aXfDswBNLTU1NY2Njc3NzWlqaWq2GvUNDU5ZlXS4XPM9A" + "U1vA7XaDSxFJfIlEYjabBQKB3W7X6XRwssA6Cy5OeMiRSqVka2Vti8XidruhIYzb7RaLxSKRSCAQ" + "wI3C6XTK5XKbzWY0Gq1Wa0tLC8Mw9fX1V65c8WzE9pcI2f7fAKsfDKYDRCLRF198oVAoaJpOTk5e" + "s2YNtGgmCIKiqL///e8zZ84MCQmhKCowMHDJkiWBgYHwrtvtfvjhhydOnOgZXtq3b1+9Xh8YGKjT" + "6VJTU729vdGOwsLCVqxYIZVKlUrl+++/X1hYCD0BaJoODw+Xy+VoWJIkw8LCPvvss8zMzNLSUqFQ" + "2KdPn/DwcIVC4XK5kpKS3nzzzaqqKpFIJJPJxGKxTCYTiUQPPPCAVqs1GAw6nc5oNKpUKqlUGh8f" + "r1Ao3nrrLTQ+2K5mz549ZcoUkUg0d+5cz7q0DMMkJyeHhobiYj9Ea2itxWJxuVwXLlzIyckBZyVk" + "NqWkpLjdbr1eP2vWrA0bNlRVVcGnICpr3759a9asQUEeQqEwJCTkpZdeghBmBFjpNBqNQqGQSCQs" + "yzY1NUGhcNjAx8eHYRgIi0a+SIlEIpVKoYWcTCZraWmBKC7os1tRUcEwDFQGv8tAUNRdqPcD4kOh" + "UGg0GqPRWFNTU1tbS7Tm5UH4HbjDwP+LipuDooXgPDhTHMfBo4JIJGpubnY4HPAwwOfz4YvAT4am" + "acgMhbQGaDtDtJqEkaKFXcDIsBfYGFznXl5ekJSApc/dBKsfDKYDOI7z8/ODR3wIf27TxTAiIgL1" + "b4LyyvAW2H60Wq2n50sqlYaGhhIEERAQAGZ59BzM4/H8/f0hErlXr169evWC11Fos+eUwEIzYcIE" + "ojWuGbnYZDIZWB1QcA+seb6+vpMnT4ZvgcrlwQc9n8VRgzCwIqjVas9dw7IREBCAAzOJ1hMBqiI8" + "PHzgwIFyuZzH4xUUFPz++++nT58eO3asRCKZMWNGRkZGc3Mz+iBFUcOGDVOr1TKZDCwQcrlco9GA" + "ea89brcbaiVQFCWTyQwGA3Kw1tXVwQhQJBPCXBwOB/Ss9fb27tev34kTJ4YOHQrOL4Zhdu/eHRIS" + "MmTIkLtxjP4IiLa74zZlWTYyMvK5556rqKiw2WwQ+w+PMah6IVh9FAoFSB+wGDmdTrFYDO1+HQ6H" + "VCqFDEqhUGi32+H3CIIJLgD4IYvFYqiIASY60Fgul8tut4OhCO4S8NOz2+1Ea/UBMDhBNmiPHj3a" + "95zHdDb4XobBdADZ2i8JhZ16On3AQI2iGdoUaYV3PdUPPHFyrc0XPcMgUE4QEhZkaw/UNvMhPIqn" + "weCoQSkoG8/5tJmJZ9Fb1I+T8MjKRkViOgzURQG8+NkUoChKIBDw+fyRI0c+9thjoFPLy8uzsrKy" + "s7NHjRrF4/Gg0Tc4XIjWc1dcXLxnzx4wRYBmkslk8+bNg05SnoBIheoJYB6wWCynTp0aP358c3Pz" + "6dOnVSpVWlraqlWrTpw4MXLkSKVSmZub29LS0q9fP7fbPWzYsO+///6jjz5avnw5SZIbN27cs2fP" + "7Nmz1Wq1Zz3Mu3O4oBgVtJnrVECdyOXyIUOGdPjtOI+K2P91KFQrkmxXbrvNlp7je34Qvev5uueP" + "9EZmgukksPrBYDqAbNeusk0P5/Ybt/m7/Yvtx2y/5Q2+cr3B0SueL8KS0ObjHa4N11sOsejxBIlI" + "qErAMIxMJiMIQqPRUBRVUVEBTkMIuEFLPhgMoB63zWajabq6ulomkyUlJXUY8QqBXAEBAeBVCQkJ" + "gXT6/Pz8hoaGS5cuTZo0qXv37klJSWvWrHnvvff8/f33798fHBwcGRm5devW7777zmQy8Xi8/fv3" + "JyQkHDp0qLGxcfPmzcXFxa+88opGo7mDVRn/K1xrC/rO3tF/zSzr8Od5PTwn3OYH9efjX68NbfsB" + "b3AmmM4Aqx8MBoO5aWiaDgwMVCgUEELLcZxMJuvZsyfEtELO4LBhw0AYIUaMGDFy5Ehwo7AsC7oH" + "2uK2WQVlMtmgQYN8fHygukF4eHhaWtqWLVvS09NFItGYMWPmz59PUdTo0aNLSkoyMjJIkvTz85sx" + "Y4ZYLJbL5QqFQq1WQ58pgiC0Wm1kZKTL5VKr1bDTu2xyuDtRzxjMjYPNbhgMBnPTOJ3OvLw8tVrt" + "6+sL6zpJkhUVFVarNTQ0FHydJpOJpmlUIBuFgCDHEwp/bm+04DiuqakJZQyBJenatWu1tbUajQby" + "vMCdajKZrl69CiUSvLy8CIKw2+3l5eXgVoM6TwaDwWq1EgSh0+nEYvF/tWHcWT744IOMjIxly5ZB" + "Y2AMpiuA1Q8Gg8FgOpFVq1YdOnTo7bffjomJuddzwWD+D9xWDYPBYDCdCNQxxxG+mC4FVj8YDAaD" + "6UQ8kw3v9VwwmP8Dqx8MBoPBdCJQMAIXCsd0KbD6wWAwGEwnYjQa/2suOgZzl8HqB4PBYDCdCFY/" + "mC4ILsCAwWDuX5A7BiruQOUetE6jor2w2fUiVxiGgXLb0OgAPgWZ7dBhlKZpaNXevl4wVF6+wZgY" + "l8uFZsKyLPRYQAHFqK43ZMKjjp4ulwttcIMqBLpSwF7glTZ9V24KKPmIumvd2iAYzJ0Fqx8MBnP/" + "gtoOQAVCJEpQAzWCIFDMCuph2QbUcgQ+gsr5QLc1+ANaPqEKh7Bf6Dx1UxOGSaJOmRRFgQAiPJrP" + "I+0F8gtteVOHBb4OOia3o1rgoAkEAix9MF0HrH4wGMx9DcuyDQ0NJSUlcrnc19dXrVaDIYdl2by8" + "PGiTDu1IUdOuNkA3TejBznFcc3OzxWLx8/OjKAq19rx48WJISIhSqayrq8vJyeHxeDweTyAQxMTE" + "yOXyG5wqSZLXrl0LCAiA8tBlZWUtLS1SqdTf3x8GsVqtV65ccbvdAoEgJCREIpFwHGc2m3Nycq5d" + "uzZs2DCdTneDO+I4zmAwHDx4sLKyMjAwMCUlRaFQ3OA82wD9RHG6O6ZLgdUPBoO57wAPEUVRRqNx" + "69atW7ZsaWpq4vP5Uql03Lhxzz//PMuy//73vz/55BPolsXn8728vFavXh0YGIg6wjIMw3FcQ0PD" + "woULu3fv/vLLL6vVaqfTuWHDhv3793/22WehoaEEQbjd7gMHDixbtmzq1KlPPfXU8uXLDx06BAWX" + "SZL09/d/5513oqOj0cSIViuOQCCAF5Hr6vvvv9++ffsbb7yRkJBQXFy8aNEis9ksFAr1ev26dev4" + "fP66deu+//570FULFiyYM2eOy+X68ssvd+zYQZLktm3bXnvttR49esCwDofD04xEEAS40qAItcvl" + "evXVV0+ePCmTyex2+5EjR1asWEHckguMZVlogX5nTh4GcyfA6geDwdyPuN1up9O5b9++devW6XS6" + "qVOnymSy48ePb9myRalUpqWlVVdXm0ymRx99NCoqymKxbNy48dVXX12zZg00MSVa24B//PHHZWVl" + "DoejsLAwOTmZIIiampqysrLNmze//PLLBEGwLLthw4ba2loQUiKRKCAg4J133vHy8qqpqVmxYsWO" + "HTteeOEFpHXsdjvHcUKh0HOqHMft37//yy+/ZBjG4XDweLysrCyGYZ544omQkBC9Xg+Nw0pKSgYM" + "GDB+/HiVSgWK6vz584cPH168eHH//v03btyYn5+P1A847CC4h8fjOZ1OkFkEQQgEgmvXruXn5y9Z" + "smTs2LG//vrr559/npOTEx8fD/FGN3WoDQaD2+3Gfb4wXQp8OWIwmPsOCGqx2Wzp6el+fn7vvPNO" + "REQESZKjR49+8803t27dOmDAAIqiFArFggULQO5UVVX9+uuvjY2NAQEBBEFALHNJSUlmZqZKpbJY" + "LOfOnevTpw9BEC6Xy+Fw/Pvf/164cKFOp8vPzy8uLgapRJIkj8dTKBRxcXEcx3l7e8fExNhsNs+O" + "38XFxQzDJCYmotlSFFVYWLhu3TqSJIVCoUQicblcRqOR4zgI69HpdKBXGhoadDqdyWRSqVSwr99/" + "/12lUgkEgoKCgrS0NB8fH3QEHA7H+fPn9Xq9r6+v2Ww+depU7969oS8Y+M4WLFgwduxYmqZ9fX1Z" + "lrVYLESrZrpZJBLJzWomDKZTweoHg8Hcd4DaMJlM5eXlEydO7NatGzjClErl+PHjT5w4cfbsWZqm" + "GYb56KOPoqOjW1pa9u3bp9PpPGN0OI7LyckBA0x2dvbx48dnzZolFouhwbvFYklPT589e3ZWVpbZ" + "bBaLxQzDMAxjs9lKS0tXrlwpEAjq6uqys7MXLVoEodCAt7c3ssEAZrN5+/btBEE88cQTq1evhqCf" + "q1evGgyGTZs2yWSyuLi4l156qampqbGxMS8v7/LlywKBYNKkSWlpaZcuXSoqKlqzZo1Wq5VKpY8/" + "/njPnj2J1hw3Ho+3du3aXr16VVVV8fn8QYMGwX5dLpdOp5syZYrdbj9w4MBXX30VFBQUHR0NaXE3" + "e7QFAgHKHcNgughY/WAwmPsOjuNomqYoym63+/r6QlQNJEwpFAqXy9XQ0EAQhMlk+vnnn/l8Pp/P" + "79GjxyuvvCKVStEgRqNx3759LS0tJ0+eLC8vr6mpKS4ujouLk8lkQUFB3bp127p164QJEy5fvty/" + "f//m5maDwWC321UqVVNT0/79+yUSiVwuX7RoUWpqqqek8Pb2bjPbEydObNu2bfz48QaDgaKoysrK" + "3r17T5s2rWfPnkOHDi0sLFyyZMk333zz2GOPPf744z4+PuHh4du2bduwYUOfPn0aGhq8vLzefvvt" + "bt26ffLJJ1u2bAkNDVUqlXAEevXqdeHChaVLl8bGxr777rvo29E0bbfbGYb5+OOP9+7d269fv+ee" + "ew7MQrdwtGmaxiHPmK4GVj8YDOa+gyRJlmX5fL5arc7Lyxs9erRIJAIJUllZSdN0QEBAVVUVTdOL" + "Fy8ePHiwr68vbIBkCsdxWVlZV65cIUny3LlzNpuNIIhvv/32k08+4TjOx8dn0aJFCxcufOutt8rL" + "y994441Dhw41NjaKxWK1Wp2cnPzpp5/KZDIYEDLq/8SmcurUKZZld+3axTAMy7I//vhjVFRUcHBw" + "fHw8RVE6na5nz57V1dVisXjEiBEymYzjuOTk5F27duXm5ioUiqioqOg8tS1WAAAgAElEQVToaIlE" + "Mnbs2G3btsGYsFOHw1FTU6NSqQwGQ35+flBQEIrpZln2n//856lTpx599NFZs2ZJpVJU+uhmzT91" + "dXW4yRemq4HVDwaDuR+haZqm6aCgoEOHDnXv3n3w4MEikejUqVObNm3y9vbu1atXXV2dTqdLS0uj" + "KArK9hCtDiOCIJxOZ25uLsuyCxcu9Pf3N5vNu3btyszMzMvLM5lMYrE4JCQkODg4PT194MCBer0e" + "yh4SBGEymXx9fSF7vH37T47jGhsbWZb18fFBL44ePVogEDAMc/Xq1aysLL1eLxQKly9f3qtXr549" + "e5aVlV25cmX48OF5eXmHDx+Oi4tTKBTHjh0TCAQDBw5samo6ePBgenp6cHDwli1bAgIC5HI5OLCs" + "Vuu2bdtoml6+fHlRUdHRo0ejoqKQANqzZ89vv/3m5+dnsVh2796t1+vj4+PlcjmUZ7ypQ11bWwtJ" + "dnfs5GEwtw1WPxgM5j5FIpGMGjUqNzd35cqVe/bs4fP5xcXFFEW98MILQUFBGo1GIBBAgnd70wVU" + "CUpNTX3iiSegiLNUKl2xYsWlS5cEAoGPj49IJEpLS/v4448HDx4sk8k0Gg0UVPT390flE9vXTuQ4" + "7tSpU1VVVY888ghK+xowYEC/fv1Yli0uLn7xxRdTU1N9fX01Gs2qVau0Wq3ZbA4KCpo6darb7S4s" + "LExPT4f5TJo0ydfXd+LEiYWFhd9++61AIFCr1fPnz4cYIx6PxzCMRqOZMGGCSqXq0aNHcHAwSZJO" + "pxNqETU1NUkkEpvNtm/fPqlUGh8fHxQUJJPJbuE4i0QinO6O6WqQ2B2LwWDuN8CzQ9O0zWa7cuXK" + "tm3bsrKyXC7XsGHDZs+eDVld9fX1586dGzVqFJQ8bjOC2+0uLS0VCAR+fn4EQUBE8+nTpyMjI0Et" + "eXl5uVyuS5cuBQUF6XQ6q9XKsqxKpXI6nQ6HA2REh8OaTCaCICQSCdJGDMOACGMYxmg0yuVyiFiq" + "qKjIzc318fFJSEiQSCQgWS5evFhXV5ecnOzv7w8lhSwWS1VVFcMwYWFhIpEIDYtqT7tcLj6f73A4" + "GIZRKBRgp7FarVCqEXLphUIhTdNIOd3U0X7jjTeuXr369ttvBwcH3+SJwmA6C6x+MBjMfQe4b+Du" + "BzII/YvyvaF7F+HRAsxzBMg2R69DPRvIafdsEwb2HggzIlp7ZaCGXB3aftA92TPGCP4AseJwOMBt" + "B+nuDMO43W6hUAj2JOiq4XA4wF4FXwqZmjynh+pQQ2sw2CNyUVEU5XA4wP4EWWY8Hg++5s1G8IBJ" + "7K233sLqB9N1wJ4vDAZz3+HZbAvq0Hj+C3jWG2wPSZKeG3doFAEXEvzdvtpNhxaUDruQoldALYnF" + "Ys8xUfVFzwHRi0RH/rU223t+ENLg2wyCRrhZq4/n/G+5SSoG0xngyxGDwWAwnQhN0wqFAok2DKYr" + "gNUPBoPBYDoXh8OBc74wXQqsfjAYDAbTiRiNRuL6DjgM5p6A1Q8Gg8FgOhGGYWQy2a3FDGEwnQRW" + "PxgMBoPpRGw2m9PphE7193ouGMz/gdUPBoPBYDoRoVBoMpnsdjtudoHpOmD1g8FgMJhOxGg08vl8" + "VGAJg+kKYPWDwWAwmM4FB/1guhpY/WAwGAymE5HL5SzL4jbvmC4FVj8YDAaD6UTsdrtCoWhf7RqD" + "uYdg9YPBYDCYTqS+vr6lpcVqtd7riWAw/wGrHwwGg8F0ItA63rP1GAZzz8HqB4PBYDCdCMdxDMPg" + "hC9MlwKrHwwGg8F0IhRFmc1mk8mEBRCm64DVDwaDwWA6EYlEQhCE2+3GOV+YrgN9ryeAwWAwmP9l" + "aJomSVIoFN7riWAw/wHbfjAYDAbTiYjFYh6Phw0/mC4FVj8YDAaD6USgziEu94zpUmDPFwaDwWA6" + "EaFQCLWe7/VEMJj/gC9HDAaDwXQibrfb6XTihC9MlwKrHwwGg8F0IqB+XC7XvZ4IBvMfsPrBYDAY" + "TCdiMBgEAoFQKMTmH0zXAasfDAaDwXQiFouFz+dzHIfTvjBdB6x+MBgMBtOJhIaGMgxzr2eBwfwB" + "rH4wGAwG04k0NTWxLOt0Ou/1RDCY/4DVDwaDwWA6EavVyuPxcL0fTJcCqx8MBoPBdCJyuZzjOBzy" + "jOlSYPWDwWAwmOuChIvb7WYYpsPEdYfD0dzc7HQ63W63y+VyuVwOhwO9q1Ao3G733ZsxBnMDYPWD" + "wWAwmI4B6eN0Op1OJ8Mwv/zyS0NDQ/vNcnNzly1bVlNTA9LnyJEjX3zxBXqXx+Px+Xyaxq0FMF0I" + "rH4wGAwGc11IkhQIBDRN19XVHTp0yGw2t99GIBCcPHly69atBEG4XK7169d7buZ2u3k8Hu50gelS" + "4MsRg8FgMNeFZdnLly///vvvO3fuvHz58p49e0pLSxsaGjxdYNHR0WFhYQcPHmQY5uzZs5WVlSkp" + "Kehdg8FwPZcZBnOvwKZIDAaDwVwXp9P59ddfZ2Zm2mw2q9W6cePG48ePDx06dMaMGVqtFgoYkiSZ" + "kpKyevXqjIyMEydOxMTE9O7du/0492L6GEzHYPWDwWAwmI5xu90kSS5cuHDMmDGlpaU//PDDuHHj" + "+vfv7+vrKxaL0WYURY0YMeLTTz/97LPPHA7HkiVL2kT5kCQJIUS43DOmi4DVDwaDwWA6hqIomqYj" + "IyNjYmKKiorOnTs3ffr0wMBAmqY9pYzb7fby8oqPjz927NjQoUOjoqI8B5FKpTweTyAQ3ItvgMF0" + "DFY/GAwGg+kYkiT5fD787evrO3ToULlc3l7HcBxH0/SMGTOqqqr69u2rUChcLhcKc+Y4zm63kySJ" + "DT+YrgNWPxgMBoP578hksqlTp3b4FkmSLMsOGjQoJCTE29sbepqidzUajd1ux7WeMV0KrH4wGAwG" + "c0PweDyWZdu/7nK5QNyEhYW1z+0SCAQul8vtduO4H0zXAasfDAbzlweCcxmG4fP5yOECyy1BEAzD" + "CIVCjuPurPkB6hp77g6q2qDwXpZlYadut5uiKHgL4n9BItA0DWoAhkImE5ZlIWoYtu8iigGOXofH" + "ELxj19ugsbGRJEn0ZTGYrgCu94PBYP7ygKTwlD4It9stEolIkkRi6I7AcRzLshRFka1AIDDsBeoj" + "w3rvufCD6CFJEqKJkRqA1xmGgW4SdrvdaDTa7fb/jSzx5uZmhmEYhrnXE8Fg/gO2/WAwmL88HMfl" + "5OQIhcLIyEiQFAzDZGdnX7t2jSTJ2NjYbt268Xi8O2t7sFgsFEVJJBL4b2NjY21tLViDRCJRUFCQ" + "y+XKy8vz8/Pz9vaGXfN4PKvVWl5eHhQUJBKJkJmEpmmn0+lyuS5cuJCVlVVVVSWRSMLCwvr06RMc" + "HPxXj5hxOBwCgQDXesZ0KbD6wWAwXRewo0BYSfvlE2wtJpMpMzNz7dq18fHxL7zwAo/H4/F4P/74" + "4+bNm91ut91uDw4OXrp0aVhY2A3GnaDIlfayA3XrzMnJ+emnn4YNGzZkyBCKosxm89q1a48cOQKR" + "MV5eXi+99JK3t/eWLVu6des2d+5cmqYFAgFJklu2bMnMzPzXv/7VZiZ8Pv/48eMff/xxaWkpuPAk" + "Ekn37t0XL14cHx8PhqU2Xxy8aZ4vwpgsy9bV1ZWVldlsttDQ0KCgIHidoij4FNqeZVkej+dyuSwW" + "S1VVFZ/PDw0NJQiCJEmQceCtg41vWYQZDIbAwECc8Y7pUmD1g8Fgui6owbhnhA3C4XDYbLbVq1f/" + "+9//NhqNYG7h8Xgmk2nVqlXjxo2bN2+e2+1++umnt23btnjxYmSn+XNcLhdN08ix5fkWSZImk2np" + "0qWZmZlWq7VHjx6gCaxWa35+PkVRkydP5vP54eHh4eHhHMdptdr9+/dPnjxZpVKxLNvU1PTdd9/1" + "6dOnTTFAlmUNBsOSJUuMRqNAIOjbty9JkoWFhadPn37jjTfWr18vl8vdbrdQKETzQXNrU3fH5XId" + "PHhw5cqVtbW1LMv6+fk99dRT48aNo2kaoqPae98MBsPbb799/PhxgUDg5eWVmJg4atSo2NhYiUSC" + "ApJQXPMtoFQqaZr+q1uwMP9jYPWDwWC6LrBaZ2dnG43G1NTUNu+CmSckJCQqKiorK8tutwsEApZl" + "9+3bx+fzU1NT/fz8CIKYMmXKkSNHGhsbb1D98Hi8goICiqIiIyPbvMVxnMViYRhGLpebzeba2lqD" + "waBUKk0mk8lkSk5OHjJkiFKplMvl4Gjr2bPn7t27KysrNRoNx3GnT5+mKGrGjBlt3HAURe3btw9s" + "JEuXLh0wYABJkhcuXFi6dGlBQcFzzz33wAMPQJt0kCMWi0UgEKhUKoqinE4nWGjsdjvDMMXFxdu3" + "b6+vr4do69LS0vfff7+2tnbQoEEikQgOKeFRfNlms507d+7gwYMWi8XhcFRXV1+4cOGHH37w9/cf" + "OXJk//794+PjtVrt7fithEKhxWKxWCx8Ph8HPmO6CFj9YDCYLkcbk8/JkydrampGjBgByzxaiWma" + "FgqFs2bNGjduHNQgJgiCz+dbrVahUBgeHk4QBMuysbGx+/btczgchIfrCi3DyIICphGQEfv371ep" + "VOHh4SjlCv3r7e39+eef5+bmLl261G63C4VCl8vV1NTU0tKyc+fOs2fPBgYGDh8+fMyYMRCHFBoa" + "un379ri4OI7jTp06pVQqk5KSiD/abEiSbGxsdDqdgYGBUVFR4I0KCAgICAi4cuVKRkbGmTNnwNgD" + "hwWmio4G5JfxeDyHwwGOQgi7pijK4XDU1dWtXLlyzZo1UHAZbDAoQNtut5tMJpZlXS4XGp9l2fLy" + "8u+///7nn3+ePXv2k08+eTvGG7vd7na7rVarWq2+tREwmDsOVj8YDKbLwbIsZD8VFBTU1dUdO3bM" + "7XafP38+KChIo9GgzUiSFAqFBEFIJBI+nw++Ko7jWlpa+Hw+GGAoihKLxbDYu93uI0eOEATRo0cP" + "tVpNkiSywcC/ZrO5oqLCarVeuHAhMDCwqqpKq9V6dmmAj/B4vMDAQJlMBsG8EBOjVCr1en1CQkJ5" + "efnXX3/tcDhmzZrl7e0dHR29f//+pqYmq9VaXFycmpqKqid7fhEw5DQ0NFRXV2u1Wo7jrFaryWQi" + "SbJbt249evQQCARSqRRUCE3TNE1TFAV/OxwOg8HgcrlMJlNeXl5BQQEYftxuN7jYvLy8YmJitFqt" + "xWJxOp3wFshBq9VaW1tbWVnJ4/GQZvKMMRIKhW63u/2cbxyIN2rj7MNg7i34csRgMF0OCDTZs2fP" + "qlWrTCaTzWbjOO7pp58eNWrU4sWLtVptm+3BBGKz2eC/YrHY6XSazWatVvv/2zvz6Cjq7O1/q6q7" + "ek2nl+yddBayBxICkgAJCftyZFMRwcGZ0UENOIOIMAy4HFEPiAvjoIIwKqC4IAQZ1qOyhgwJCSBI" + "WELIBtnInu5Ob1XV/f5xX+r0CYts8yOR+/nDk3Sqq6pLPf2c5z73XqfTefnyZbBwdu3atWzZMoZh" + "9Hq90WhUqVQzZszo27cvnIHjuI0bN27dutVisdhsttOnT+fn5/v5+b3yyispKSldriiRSDQaDTRq" + "MQyTlJS0du1almVlMpnVan399de//fbbP/zhDzRNp6am5ubmfvXVV0lJSa2trePGjbvuRw4KCqJp" + "uqKiYsWKFa+88opWq926dWtpaSnLsm+++WZKSgqkka4bRYL7FwSB4zi73f6nP/3pwoULokPWv3//" + "V199NSoqSpwhBFqQEELTdGdnp9VqnTNnTklJCcMwUqmUYRiVShUVFZWZmZmWlpaamiqRSK6bu7pF" + "BEFQKpXeW1ER5L6D6gdBkG6HIAg8z0+YMKFfv361tbVvvfWWQqH44IMPwsLCrns8qCU/Pz8YKhMT" + "E2O3248fPz5y5EiZTFZSUqJSqVQq1cCBA3/44QdIuhw6dOihhx7q1auXeBKapkeOHNnZ2dnQ0FBc" + "XKzX6ydOnBgXFwf55S6aA7rJIIbs8XicTqfVag0KCoJXNBqNVCqFBqv09PSgoKCvvvoqMDAQDJhr" + "75+iqKysrMzMzPz8/KNHjz7xxBOgS1Qq1Zw5c9LS0mBqESEEXBkQIt4OjSAIcrlcJpOpVKp33313" + "wYIF5eXlbrc7NDR08eLFvXv3JlezPt7WDkVRCoVCJpNlZWU1NTWxLBsdHT1u3LisrCwwveDIu5y4" + "aLfb/fz8wKVDkG4Cqh8EQbodUF0ihJhMJqPROGDAAKVSCc3Y14XjOIqimpqa4Es6PT1dp9N98803" + "VqvVZrMdOnRo/Pjxer0exh4SQiIjIx999FHvM1AUxbJsbGzsggULOjo6Vq1aFRwc/Mc//vFGhofb" + "7eY4DlQRwzD79u3Lzc199NFH4+LiKioqzp8/n5mZCekclUo1bNiw1atXNzQ0/PnPf1apVNc9G8uy" + "CxYs0Ov1R48etdlshJDk5ORRo0ZNmTJFfBpd8FYkMM4RTpWQkLBkyZLly5efPXt27NixovQhVzvF" + "urxRqVQ+9dRTw4cP9/HxCQsLg6d0D+PJ4EiBWLxX50SQuwTVD4Ig3Rf4Dh42bNh1RYMITdMZGRmp" + "qamQ46Eoat68eatXr161ahXP8w8//PCkSZO828Vvjlwu79+//82Pl0gkQUFBGo0G5FFUVJTb7X7/" + "/ff9/f1dLld8fPy0adOgKEZR1OOPP56XlyeVSpOTk28UoOF5PiYmZv78+ZcuXTKbzW6322QyhYeH" + "3+I9i3oFBg4lJCRER0eXlpbqdDqwoMRE87UwDBMQEBAQEADLOkS/51aueys4nc7rjmtCkPvIDf9/" + "QBAEue9AX5LL5fKOHl/3MKvVCqYFpFscDofT6QQZERgYeFt7vgRBcLlcNE3fxKtwu92tra2QRIaD" + "29razpw5U1dXp9frBw8erNFoeJ4HzSGRSBobGymK8vX1JYRcm4ARBEGUSjAZGabywHtv5bY5jjt8" + "+PDBgwebm5tVKlVHR8evv/7a0tISFBQ0YcIEo9Eol8vlcnlYWFhycvK174VgMkgfcXfHrTyrW2HM" + "mDG9e/d+8803lUoldrwj3QRUPwiCID0ViDDzPF9cXPziiy+2t7dLJBIQi6L2AikD+q93795LliyJ" + "j4/31oLiQG2O4yD4TAiBbvnrrk67XTIyMgYOHPj2229j8BnpPmDlC0EQpGfDMExLS4vD4YBJP5A3" + "EqUPIUQQBIfDIZVKYSrjtQlus9l87ty5s2fP2my2gICAxMTEuLi4e9WjznGc0+nkOE7MXSHIfQfV" + "D4IgSA8G5hwOHjx41qxZmzdvrqurS05O9vPz++9//6vX67OzsysrK48dOxYVFTV27NjY2NjY2Fie" + "58nVxjFCiM1mW7t27c8//+x2uzUaDczmmTBhwvTp0+9mzI8IrNS451tmEeRuQPWDIAjSU6EoCtZH" + "GAyGmTNn1tbW7t27d8WKFXV1dWVlZc8999yECRMKCgqampreeOONvn37ioUtb44cObJly5bs7Oy5" + "c+dqNBqbzfb555+vX78+ISEhLS2N4zjxSBh7eLu1MNjRAZ32KICQbgKqHwRBkB6MOKva5XLZ7fYR" + "I0aEh4efPn1ao9EMGTKEZdnm5ubU1NTo6OgbGTk7d+6Miop64YUXQkNDOY5TqVQ5OTkmkykkJIRc" + "nYhYVFSkVqv79+9/B1FRWMSB0gfpVmALIoIgSI/H4/GUlZWVlZWFhYWZzeaCggKYb2S1WouLi4OD" + "g1UqlSAI4pozb06dOhUXFxcYGAizEGma1ul006ZNMxqNhBCn07l9+/YlS5acPHnyzu4NVrF6e0gI" + "ct9B7wdBEKQHAz1fVqv1hx9+qK6uzs/P7+joOHjwoEajKSgoqK6uzsvLS09Pv3TpkslkYlkWhgh4" + "d9G73W6lUgkZZ3id53mO4yCsc/r06W+//VYmk7W2tt5ZjzCon+sKLwS5X6D6QRAE6akIV/n+++83" + "b95st9uLioqOHj3qdDrlcvk//vEPnud5ns/LyysqKgoKCkpLS3v22We1Wq1387nBYKirq+M4DiYq" + "wZChkpKSgIAAuVyem5s7YMCAsWPH7tu3D2YC3e5N+vj4YLcX0t1A9YMgCNJTYRhGEASWZbds2cLz" + "PMuydrudZVmWZV0ulyAIoDmcTmdnZ6fZbG5sbExLSxs+fDjHcWIMaNCgQcePH6+qqkpMTCSEuN3u" + "8vLyBQsWjBkzJiUl5fDhw1qt9ujRozKZrLy8PDY29nYFEEVRFosFVrAhSDcBcz8IgiCEEAKTcm5U" + "3PF4Qa5uGPX+9TffeMd3dZO/ghnD83yvXr1gnYWPjw9YOLCYXXRrFAoFy7ImkykwMBD+Kp5kxIgR" + "7e3tX3zxxdGjRysrKwsLCz/55BOGYcaMGRMeHv7II4/06dNHp9MRQiC8fLsfgWEYtVqNS76QbgV6" + "PwiCIITcVGeIqkgUEy6XC7wTGCp43YamLif8zaYncXAzbE6FqTwSieTm74K/5uTkxMfHq9VqpVIp" + "lUp//fXXXbt2NTU1xcXFPfroo1qtVi6XcxwXHR3dq1evLuZNYmLi9OnTv/7665KSErVaDQMP58+f" + "n5SURFHUiy++yPN8dXV1aWlpTEzMTe7kRqjV6ut22iPIfQTVD4IgyP9XHqByrl0oBn8iV0PBcAxs" + "D4UdEddqlOtaPmDP3OQGIHMDP8C1fjMuw/O8VCrt06dPQkICrOvyeDzZ2dl1dXUHDhyYPHny008/" + "DevPeJ6HA6DsJWogmqYfe+yxuLi48+fP19fXJyQkDB06VKlUOhwOyOswDBMTE9OrV6/flGLXxeFw" + "wKhDbHpHug+ofhAEQQhFURzHnTp1qqWlZfjw4bDgHbSLy+Wqr68/efJkdXW1j49PUlJSQkKCSqWC" + "zE15eTlFUSaTCaYOUhTV2dnpcrk0Gg2csLq6mhDCsmxCQoJWq/X39792hyhcqLOzs7CwcNu2bTU1" + "NREREWlpaSNGjDAYDDfXHBRFgfwC0eZ2u91ud2dnp8ViYRhGLpeTq3Uu0F5QERM/HUVRNE0rFIq0" + "tLQBAwaIB4NS8Xg88LmgBezOtIvtKrjnC+k+oPpBEAQhhJDS0tLly5dXVla++uqrEydOBK3gdDr3" + "79+/Zs2ahoYGg8HgcDg8Hk9mZubzzz8fGhra2tq6cOFCs9m8ePHioUOHwmL2n376qby8/Lnnnuvs" + "7FywYIHFYpHL5bCjPiIiYsaMGdnZ2V32qLvdbpfLtXbt2k2bNpnNZo7jzp07d/DgwZMnT86dOzcg" + "IAC0CAzjIV4xIzBmiFcOiabpxsbGjRs3lpaW8jxfVFQ0ceJESO2IigcEDZyEpmlRt93k4dxN3Ypl" + "WQgMofeDdB9Q/SAIghBBEI4dO3bhwgWXy/XTTz9NnjwZDBKr1bp+/XqJRDJ//vzIyEin03nixIlN" + "mzaFhITk5OR0dnY2Nzc3NDR88803sbGxISEh4P00NzdDgodhmMcffzw9Pd3tdre2tu7YsWP58uWR" + "kZHh4eHeUoCm6eLi4i+++IKiqD59+vTt27e5ubmgoGDPnj1Go3H27NkgfcTjwe8BY0as2UF1ieO4" + "VatWbd261W63UxR19OjR7du3T506VaFQgDiD88AmVEIIz/M3KtvBhe5Gr4jFRF9fXzR+kG4Fqh8E" + "QRDicDjOnTtHUZTRaDx37tz58+cTEhJ4nv/111/b2tr+/ve/Z2VlyWQyjuNSUlK0Wi3MQVYqlYQQ" + "t9t9+vTpwsLCiRMnglywWCyQWTaZTCNGjEhLS+N5XhCE6OjoefPmbdy48ZVXXgF1JWqLbdu2ORyO" + "wYMHv/rqq8HBwRzH/ec///noo4/WrVunUqkyMzPVajVN0y6XS0wFgbDQaDSQPXK5XB0dHYcPH/7h" + "hx+sVitN0zAF8ZNPPrHZbJMmTQoICLBYLHBdQRB4noe6GHTIQ7Hv3j5Vt9sN98CyLKaekW4Fqh8E" + "QR5cxDhzdXV1YWHh5MmThwwZsmjRoj179kRHR0skkvz8/MDAwKSkJJig09bWZrfbMzMzfX19Ycyg" + "x+OJj49PTEzMzc3t27evyWRyuVwSiQT2etrtdkgZMwzj8XhSU1ONRuOxY8fg6qLa4Hm+qqpKJpMl" + "JydHRkZ6PB4IGPn6+l6+fPm9997717/+BRUuQRCg/iWObBZT0lA+4zjO6XSKYSCHw8Hz/EcfffT5" + "55+r1WqHwyHuuxAzPVA+895d2qWyBjoJ5gkxDKPRaNRqtd1ul0qlSqXSarW6XC6j0Qh/BYEll8st" + "FovH46mtra2pqUlKSiK3kOBGkP8zUP0gCPJAA61b3333XXt7u1KpBH1z6tSpxsbG0NDQ+vp6Pz8/" + "vV4Pjs7SpUtLSko8Hk9KSsqiRYugZpSVlTVlypS33357zZo1ixYtggITVJcgd8yyLIgJnuf9/Pw6" + "Ozu7LL2SSCSgSGprazs6OrRaLTSZt7S0EEKCg4ONRiPUquRyucfjcblcFEVBzBkiNaCxeJ5va2u7" + "fPmy2+0WVQ5FUSEhIUFBQVqtVpRKoJykUqnVaoUee3FdPCHEbrfDcEIopZnNZpVKBQ8KAkwOh8Nm" + "szmdTngL3K3NZhMEATq8QIpBdU+v18fGxmLuB+lWoPpBEOTBBURJVVXVrl27HA7Hli1bPB6P0+ms" + "qKgoKysLDAz08/Orr6+H5LJMJhs7dmx0dPSBAwcKCgpqa2sjIyMlEom/v39oaOjUqVOXLVu2YsUK" + "i8UCPgooEhAi8KtEIrFarf7+/mJ+WeThhx8+e/bskSNHVq9ePWzYsKampk2bNjmdzszMzJycnODg" + "YLBqvEf1iFcBHwiKa21tbf/85z8LCgrES6Snp8+aNSs6OhqSN97dXiDRxCnMovpxOp2iPnO73Xa7" + "XSaTgfyCBJLb7QbZBPUssIUcDofb7XY4HCzLQiUOTC+apmNiYrznKyLIfQf/c0QQ5MEFpMCBAwfs" + "dnufPn1iY2Pb29vb2tpKS0uPHDkyaNCg2NjY4uLiS5cuwdKrUXKmNp8AABOiSURBVKNGZWdnV1RU" + "NDQ0QHkLfA6pVDpixIj9+/fn5uYyDDN8+HBBEECpgIwAAVRVVVVRUTFz5kwohHnfyeOPP37gwIHC" + "wsKvv/46NzeXpunOzs6QkJBZs2alp6fflmWydOnS119/vaCgwOl09urVa968eampqXewn+tegZYP" + "0g1B9YMgyIMLlGN+/vnnoKCgjz76KDg4mBBitVpfe+210tJSs9mcnZ29adOmFStWPPnkk0lJSU6n" + "My8v7+DBgyzLdnZ2wklg5iHDMHPnzj127Fh1dbXH44H8TUNDw+nTp/V6Pcuyra2t69at02q1I0eO" + "BDHkrUh8fHyWLFmyfPnys2fPchzHcVzv3r3/+te/9u/f/3alQ0hIyJIlS1566aWSkpInn3wyNTW1" + "i8/0fwxKH6QbguoHQZAHGugMHzduXEBAAFhBMpls2LBhX375pcvl0uv1L7zwwqeffvr6669rNBpo" + "8oqLi5NKpbAwS6fTmUwmyMQEBwfPmjXrvffeUyqVII+sVuvq1as/++wzlUrFcVxYWNgbb7zh7+8P" + "lxZNEaheRUREvPPOO1VVVW1tbWq1OiQkJDg4+A48G+gwNxqN58+fj4+Pv6dPC0F+J6D6QRDkwYWi" + "KJVKtXjx4pCQEHHsDcuyWVlZkZGRfn5+CoVixIgRgYGBJ0+e5DguKCjIaDT6+flxHGc0GqVS6cKF" + "C2EFBJzt4YcfVqvVwcHBKpUqPDz8H//4x5UrVwghUqk0NDQ0Li4uKipKbHT3nvcD9ozBYDAYDPC6" + "mM65+UcQJ/eIv0KXlsPhoChKoVDAAd6FNgjueBtCNpvt4sWLx48fb2xs1Ov1I0eODA0NJVejRWK7" + "WZchjTdHEIT6+npCSHBwMGSeIGNUWVkZGBhoMBhu5SQI8j+C8tzp5mEEQZAHBMgUE0Kg6elGh8E6" + "iC7vEkUMqCtxT+o9QexdFwSho6OjpaXF5XK53e4zZ878+9//LisrW7BgwaRJk3Q6nVwul0qlUKSj" + "aVqMJRFCOjo6tm/fvmbNGhBMEolEoVA8/fTT06ZNE4PVorxzuVzX7kG7LoIgLF26tLa2duXKldBr" + "Rgipra2dP3/+s88+O2rUqHv1EBDkDkDvB0EQ5DeAytRNdpQCMHmZeBk24rc+uZqwvrchGPGcRUVF" + "ixcvNpvN0MDl8XgcDodSqfz444/XrVvH87xCoTAYDP369XvhhRdCQ0O9b+PixYtr165NSUl56qmn" + "kpKS2tvb165du2rVqpEjRxoMBkEQOI4TBEEmk0ml0ttq3QoLC9u5c2deXl5WVhasRdu7dy8hBIwl" + "BLmPoPpBEAT5DRiGuRXDxntIILle0eouF0dcC8gyhmE2b95cV1cH3fXe/fYURXV0dNA0bbPZOjo6" + "rFbruHHjQkJCvE+ye/fuoKCgOXPmxMTEQClw3rx5LMvW1NRotdodO3acOHGCZdmMjIxhw4aRqyU5" + "4lWbAwXm3UvPcZzH4xk4cODGjRu3bt06ePBgWDJ/8ODB+Pj4qKioe/gQEOQOuJ+NAAiCIL8nvBeR" + "dnkFfKN73v0kbjkdNGiQTCYjhMA0QpqmwXaC6A8hBOpuMTExBoNBVGlAdXV1nz59TCaTOM7H19d3" + "3rx5ycnJFovl4MGDer2+f//+wcHBMFIIxgvBP0XAH/I+LcMwUVFR6enp5eXllZWVgiBcuHChqqoq" + "PT39FmtnCPK/A9UPgiBIT0VcRD906NC4uDgfH5/Zs2d/+OGHixcvDg0NVavVL7300vr168eNG+d2" + "u59//vkPPvggOjoaJI54kvb29oCAgC67TkGgNDU1NTc3t7S0cBxnMpnEMUUQaWppaWlpaWlubq6v" + "r29oaGhrayNXh1zDjUkkkqlTp7a0tJw5c4am6b179xoMhoyMDMybIvcdrHwhCIL0YDweD8uysNgr" + "Kytr5syZKpWqsrJy27ZtAwcOnDlzJk3T+fn5sbGxzz77rEwmY1kWlo96GzAdHR3emygEQWhubmZZ" + "1mKxcBx34sSJkpKSoqKiefPmBQQEgHYpLCx85513oKwG6+VVKtXo0aOfeeYZHx8fsQbXu3fv4ODg" + "n376KTQ0dN++faNHj1apVPd3/hCCEFQ/CIIgPRfwYCiKqqqqqqysTE9Ph36ukpKSK1euDB06lKZp" + "s9lcVlZmNBrFprMuNbgBAwacOXOmoaHBaDTC6xcuXHjttdemT58+evTopUuX6vX69vb2r7/+urS0" + "VK/XQ345MTHxb3/7G8y8huKaRqOJjY318fEhXj38hJAJEyasXbt22bJl7e3t48ePh74zHIGI3F9Q" + "/SAIgvRsWlpaVq9e7XA4Dh8+bDabGYY5ceJEa2vr7t27XS5XTU3NsWPHGIbZsmXLpEmTFAoF7J8X" + "3z5mzJgff/zxww8/HD9+fGJi4uXLl7/88svGxsa+ffs6nU6n06nT6cAostvtYPwwDOPr6zt27Fj4" + "FRrvb0R2dvb69esvXryYnp4eFRUlzg36Hz8VBLkZqH4QBEF6MBRFrVmz5siRIzzPl5aWXrx4UZxO" + "VF5eXlFRAZvICCEffPBBcXHxyy+/HB4ezvO82MUWFRX12GOPbdiw4fDhw3K53Ol0ejyeOXPmRERE" + "nD59esWKFbDN1MfHJz4+XlxHL5VKRdFz8zZ4Pz+//v3779+/f9SoUS6XSyaTofRB7jvMG2+8cb/v" + "AUEQBLkTIO5TWFh48uRJKIGJ3Vjk6qRmsczE87zZbO7bt294eDgkdeAkNE2npKQkJiayLCuXy7Oy" + "shYtWjRkyBCpVKrX6wMDA69cuRIcHPyXv/wlPDwcsszw9i7tbDdydKRSae/evTMyMkaPHq1QKND4" + "QboDOOsZQRCkB8NxXG5u7ptvvqlQKDQaDSR77HZ7W1ubw+GQy+Umk0mlUslkMh8fn7CwsKeeespk" + "MhFCpFKpeAZyNakj2jmQzhEEQRAEsHnEL4vb7VeHkDVcEXUP0k1A9YMgCNJTgSJXY2Njfn6+TqfT" + "6XSgYCoqKj7++OOampopU6Y88cQTarVaoVDI5XKFQqFSqUCCiNEfl8slDgfqMreQXF2jAWYPGEui" + "bLpFoPnr3q74QJC7BHM/CIL0VESjAkSA2+1WKBTwM8Mwdrv90qVLSqUyJCQEvn1v92v7WmCsH4wt" + "hhYqME6kUqmYpAGJIJFIHA6H0+lkWVahULhcLnF3KbgpPM/L5XJxxzshRBCEysrKX375pb29PSgo" + "aNCgQTqdzu12wxjD6wJ1KKPROHXqVFG+CILA8zw0og8YMCA5Ofnmpov4LvhcMCnRe1y1t2q5AwUD" + "b0Hpg3QrUP0gCNJTAfHhdDqbmpo2bNjQ2dm5dOlS+MIuKip65513amtr3W53enr6okWLuqx3uDPA" + "wLBarS0tLTt37szOzk5KSnK73a2trevWrcvPz4ftWr179543b157e/trr7323HPPDRw4kBAilUot" + "Fsvy5cvr6upWrVolSh9CCKxkX7Vq1datW9vb23meVyqVUVFRs2fPHjJkyE3UD/HapwEODc/zJ06c" + "eOutt+rr63me371797hx426+qcPj8TQ2NjocDq1W6+vrKwgCuTq0EFai3v1zQ5DuBqofBEF6KuDE" + "bN68eefOnWVlZb169QIpwHHc+++/39bWNmzYMJVKtW/fvs8++2zOnDl6vf4ucyc0TVsslo0bN+7d" + "u7eysjI0NDQ+Pp4QUlNTc/jwYalUmpqayjBMUFCQx+ORSCQ2my0/P79fv35KpdLtdl+6dOnQoUPj" + "x4+HPixRkVAUtXbt2vXr19M0bTKZdDpdc3NzWVnZypUr1Wp1ZmbmLd4eRVG//PLLG2+8ceHCBVgB" + "durUqe+//37atGkqlepG77JYLMuWLfvll19SU1MzMzNjYmIiIyN9fHwg+nM3jwtBui2ofhAE6XbA" + "RD6oJUFdxuPxwJA97y0N4FJ0dHQ0Nja63W5Yb07T9KlTp6qqqqZPn56TkyORSCQSSVFRUUNDg06n" + "8/467zJ2D8pP4HaII4/hWt6aqb29fe/evefOnaNpWkzMNDU1tba2PvPMMzNmzIB3SaVSlmX79+9f" + "VFRksVjkcjkhpLCwUCaTTZo0qUuLeEVFxa5duyiKGjVq1PTp04OCgmpqaj7++OOTJ0++//775eXl" + "iYmJcELYNeFyuWiaVigUUqmUYRiXy2U2m81mc1NT07fffnvhwgWx58tisaxfv57juL59+8IcQsDl" + "ckHxThCEoqKi/fv32+32+vr6vLy80NBQk8kUERGRkpISFxcH+7/I9Za2IkjPBdUPgiDdEbFzG9Y4" + "EEJgeYK3+oGNns8//3xycvKiRYugWMMwzPnz52maHjBggFKp5Hk+PT19z549ra2t8H3vvZkcxs+I" + "F4VSGgwDFENF4tYq+DkwMHDlypUbNmz47rvvYLAyIaSurs5sNq9bt27Xrl3Jyck5OTkGg0GhUAwY" + "MGD//v01NTX+/v4Wi+XUqVMPPfRQdHQ0VKnE6545c6atrc3HxycnJyc2NlYQBJPJVF5efvLkyePH" + "j58/f16hUDAMIw5QhluSSqVwn4Ig2O128JNsNht8QI7jQBjV1dWBh8SyLCgzcSAQIcRms3Ec53K5" + "4NGZzeazZ8+WlZUxDAORqfnz56enpxNCbl6AQ5CeBaofBEG6IxzHNTU1NTU1uVyuzs5OmDis0+l8" + "fX2DgoLgGIqipFKpRCKJjo5Wq9U8z4NL5HA4KIrS6/WEEJqmo6KiGIax2WwURTU2NhJCoPuJeHV9" + "Q5e41Wq1Wq0wz4bjOAgOGwwGcG7gbCzL+vv7T548ecuWLRB5Fq0ph8PBcVxeXl5zc/PChQv1en1M" + "TIyfn9/u3bsTEhIqKipqa2unTp0K4WJvK0WtVstkMvjIsbGxYnqaoii5XK7RaGQymUwmg85z6Mli" + "GKbLzdM07XQ6FQpFU1OT0+n0Xvnu5+enUqngtGCqwRMGAwmWecGp4LOAtySRSDo6OhoaGsjtd7kj" + "SDcH1Q+CIN0OsHw2b968bds2juN4nuc4ztfXV6vVTpkyZcaMGeJhhBCe51Uqlcfjkclk8AUvl8vB" + "CAH/pry83Ol0CoIASRqXyxUQEGA0GtVqdUpKSr9+/QghNE1brdaVK1cePXpUnHxDUVRwcPDMmTMH" + "Dx4sdmwRQqRSqUajkcvlII94ns/KymIYJiYmplevXjt37vziiy+2bt06e/bskJCQpKSk/fv3T506" + "9dy5czKZDEI83sMGCSFJSUlKpbKhoSE3N1er1ep0OrPZXFxcLAhCUlLS/PnzQ0JCZDKZXC6Hu5JI" + "JFAIg/vkeb6zsxOcno6Ojk8//fTHH3+ErRQGg+HJJ5+cPHmyTqcDDQfPkxBis9kEQbDZbAUFBe++" + "+67dbieESCQSsHyioqL69OkTHR3dr18/7wWoCPL7ANUPgiDdDqjOTJo0KS4ujhDi8XisVqtWq/Xx" + "8QkNDfU+jBAC9g98Q8PrsGO8oaEhPj7e4/FcuHBBpVL5+vryPB8SEhIZGdnU1JSXlyeTyZKTk8Wz" + "KZXK4cOHR0REgIni6+urUqmUSuW1V4QLSSQSMRqs0+nGjx+vVCoJIaNGjTpw4EBLSwshhKKojIyM" + "HTt2LFmyxOl0Jicnw0DCLkoiICBg9OjRX3755aFDh8rLy2Gr6KVLlyIjIxcuXDho0KCbPCswgcDK" + "IoQEBga+/PLLsbGx58+f9/HxGTZsWL9+/Xx9fcUrio6RRqOBH7Ra7YEDB86ePRsWFhYdHZ2WlhYb" + "GxsYGAgLTW/33x2C9AhQ/SAI0h2hKCoyMjIiIgJ+5TgONMd1SzCCILivAuvHbTbb5s2bY2JiaJr+" + "8ccfIyMj4+PjVSrV/PnzITn0zDPPCIIgKgBCiEwmy8jIyMrKEl8RVY63WAGZBXEf+NnpdK5fv97p" + "dObk5Mjl8suXL9fU1GRmZsIEnZSUFJ1OV1xcHBQUlJ6eft3eK4/HM3v27CtXrmzfvr2srAzqaP7+" + "/nPnzk1LS7vdR2cwGKZPnw7VMVBFN7dtfHx8PvzwQ5vNBukiaE8THywKIOR3CaofBEG6I1CdEXuj" + "RNFz3XXiLMvqdDq1Wg1j/aKjoydPnrxnz54pU6aAQzNjxgyVSsWyLCzs5HkeZIH3MBuGYcBDgkwx" + "JJ1FldNFAEEiB97OMIxWq/30008LCgqMRmNZWZlCoRg5ciToBo1G88gjj6xevToqKioxMdHpdIJF" + "5A3DMGq1evny5dnZ2YWFhYSQ4ODgCRMm3NmMIpqmxfYu6JuDT3Sj40HxKJVK8Rj4+FjtQn7H4KYL" + "BEF6PC6Xa//+/XK5PCMjQyqVejyejo6OHTt2nDt3zmAwZGVlJScnQ0T6Xn2d22y23bt39+vXLyIi" + "QhCExsbGHTt2nDp1SqFQBAQEDB48eODAgR6PB8pMbW1tGzZsiIuLGzlyJDRq3ZN7QBDkjkH1gyBI" + "j0ccTww1I2iVh3KY2Ch+z/dMQc8UudonD93mLMuCTeW9/Bw6qsRVG1hLQpD7Dla+EAT5PQDbyFmW" + "hdIYyB3oiuI4DmpY4tieuweGIooVJZi+AwFkcZ4QzEIkV+t3oIRAqCEIcn9B7wdBEARBkAcLLD8j" + "CIIgCPJggeoHQRAEQZAHC1Q/CIIgCII8WKD6QRAEQRDkwQLVD4IgCIIgDxaofhAEQRAEebBA9YMg" + "CIIgyIMFqh8EQRAEQR4sUP0gCIIgCPJggeoHQRAEQZAHC1Q/CIIgCII8WKD6QRAEQRDkweL/AXT8" + "97aVKbITAAAAAElFTkSuQmCC" + ) + ) + (text "very minimum clock speed,\njust most convenient \nin our system" + (exclude_from_sim no) + (at 72.39 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "669365fa-690f-428d-a857-d851e13621fd") + ) + (label "D5" + (at 80.01 93.98 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "014e6b0a-a5b3-4f38-ad56-5f4dde1425d7") + ) + (label "Left" + (at 191.77 135.89 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "04d82612-734c-4977-baa5-ce260a43c84f") + ) + (label "Right" + (at 191.77 116.84 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "09249ab6-b3e5-484e-85a5-5b4c4963ecd1") + ) + (label "D6" + (at 80.01 91.44 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "1bdafcf3-171e-467c-ba38-33ddb6ee88a0") + ) + (label "A0" + (at 40.64 81.28 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "5946a71a-825e-4c62-824a-deb7be66d662") + ) + (label "D7" + (at 80.01 88.9 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "69a83341-abaf-4a38-8d6b-e1ef0753ad08") + ) + (label "D4" + (at 80.01 96.52 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "7d3d7210-fec3-4af9-b66a-8a2b58a3985a") + ) + (label "D3" + (at 80.01 99.06 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "accf1a5e-0396-4c65-99b2-c96d285d3742") + ) + (label "D1" + (at 43.18 101.6 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "bbccd80b-d0fd-46e8-8686-531c7b819552") + ) + (label "D2" + (at 80.01 101.6 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "c3ab5642-4cf9-482e-8aec-6a0c18cc00d7") + ) + (label "D0" + (at 43.18 96.52 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "d666e0b9-167e-435b-aa6b-7d99c1df11cb") + ) + (global_label "SH2" + (shape input) + (at 135.89 83.82 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "0a90d037-04b8-4af7-9eb9-16e6def826bf") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 129.1553 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "A[0..15]" + (shape input) + (at 34.29 78.74 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "236d38fb-cd2a-4418-a9d9-1c4ccd21b9bc") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 23.6847 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "SH2" + (shape output) + (at 71.12 86.36 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "41a2681f-3b57-4121-98e7-2a59873e8e97") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 77.8547 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "SH1" + (shape input) + (at 135.89 86.36 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "49866d9b-982a-4933-acac-b0cfbaecbe0a") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 129.1553 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "SH1" + (shape output) + (at 71.12 83.82 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "64f490b0-744f-4cdc-bbd2-61d866abef30") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 77.8547 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "~{R}{slash}W" + (shape input) + (at 53.34 86.36 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "6f2abe3e-b4bf-453f-8cb6-80710d2b1f36") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 46.3029 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "~{Synth}" + (shape input) + (at 53.34 88.9 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "7b03947f-7c79-4941-aef6-080e0b9fd9b4") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 45.154 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "~{RESET}" + (shape input) + (at 53.34 78.74 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "842fa430-d67c-4c77-a82b-5b38570543af") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 44.6097 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "~{RESET}" + (shape input) + (at 135.89 88.9 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "86727d2d-02c4-4df9-af0f-74d46824319e") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 127.1597 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "DAC Clock" + (shape input) + (at 135.89 76.2 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "8e21bfd3-63b4-4207-ac21-86a930053df7") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 123.1077 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "D[0..7]" + (shape bidirectional) + (at 40.64 106.68 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "98161a5a-f045-457c-ad7e-6db648719782") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 29.9515 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "R{slash}~{W}" + (shape input) + (at 53.34 83.82 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "becd61b6-744d-4cf0-a77c-bb503c007090") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 46.3029 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "DATA" + (shape output) + (at 71.12 81.28 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "c2900880-4e4b-43a3-8add-564c8a3a24be") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 78.52 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "3M" + (shape input) + (at 71.12 73.66 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "cacc57f4-7032-44b4-9ea7-854ccdf96606") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 76.7661 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "DAC Clock" + (shape output) + (at 71.12 76.2 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "d473a356-8172-4883-acf7-35b61be4ce57") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 83.9023 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "DATA" + (shape input) + (at 135.89 81.28 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "ea168b08-260d-4430-8566-3a5d984d4c8e") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 128.49 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 135.89 73.66 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0551c3bf-b48b-4ec6-9bb6-0166056bdd90") + (property "Reference" "#PWR093" + (at 139.7 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 132.08 73.66 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 135.89 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 135.89 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 135.89 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "ddc2b67a-5e4e-4585-b839-4612495e4dc3") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR093") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Amplifier_Operational:LM324") + (at 184.15 116.84 0) + (unit 3) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0b47331f-e373-4b39-90f2-b67a53835a03") + (property "Reference" "U19" + (at 184.15 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Opamp_Quad" + (at 184.15 109.22 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 182.88 114.3 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2902-n.pdf" + (at 185.42 111.76 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Low-Power, Quad-Operational Amplifiers, DIP-14/SOIC-14/SSOP-14" + (at 184.15 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "48cedc4b-793d-4ce6-9cd4-4839dc1b921c") + ) + (pin "2" + (uuid "e27359d6-0e5e-4de4-bfd2-2b7156e2bcf4") + ) + (pin "3" + (uuid "8f330c26-34d7-4cd7-8d35-92c475593801") + ) + (pin "5" + (uuid "6bf4c535-f34a-42b4-ac23-38a5db87a1aa") + ) + (pin "6" + (uuid "58661dc3-e955-47f8-9455-4da4df936f46") + ) + (pin "7" + (uuid "26561e2a-fa3a-4acc-ac21-4df234948f41") + ) + (pin "10" + (uuid "714c881f-5041-4d60-b8c5-48a5f1274ee1") + ) + (pin "8" + (uuid "1c1ed20e-0302-45de-8658-75d97638f4d0") + ) + (pin "9" + (uuid "c3f1ce4c-b100-4169-a88d-578a02f07b69") + ) + (pin "12" + (uuid "6e7c96b4-c3a1-48a7-8013-9d56bba65e8d") + ) + (pin "13" + (uuid "2cbfcfee-7cfa-4f3f-813d-0107b9a5a97b") + ) + (pin "14" + (uuid "a8b9ceb7-35ae-4e45-b437-134f8448ca5e") + ) + (pin "11" + (uuid "3992044e-0151-44f0-a2de-d6547ee285e0") + ) + (pin "4" + (uuid "a750aee1-10c0-4564-a3f9-f6c944536b76") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "U19") + (unit 3) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 166.37 118.11 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0fd8b945-0ad6-4fd5-b5e3-ee81b97e3808") + (property "Reference" "C4" + (at 170.18 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "3.3nF" + (at 170.18 119.38 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Disc_D9.0mm_W2.5mm_P5.00mm" + (at 167.3352 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 166.37 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 166.37 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f54a5f09-34ac-4d80-83c4-0fc131915315") + ) + (pin "2" + (uuid "a22bf0a7-72a0-4314-b7d0-8081ea858907") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "C4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 135.89 91.44 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "211fbe54-73d5-48e0-8e5e-9e1ff6a099e4") + (property "Reference" "#PWR084" + (at 129.54 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 132.08 91.44 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 135.89 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 135.89 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 135.89 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "0237a05c-fba0-4a11-ae47-807cf67570b2") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR084") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 156.21 73.66 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "3adca71a-27ec-4f71-a568-c6432df513e2") + (property "Reference" "#PWR083" + (at 162.56 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 160.02 73.66 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 156.21 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 156.21 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 156.21 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "808c3ea7-d9d7-46db-90f7-d3ed7c09cafd") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR083") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 91.44 78.74 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "5b1f4cd6-3d0f-45fe-9db6-14fb4a92fa45") + (property "Reference" "#PWR091" + (at 91.44 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 91.44 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 91.44 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 91.44 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 91.44 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "e8726be0-6a4d-4cf8-a6df-b32fe0aa586a") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR091") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Amplifier_Operational:LM324") + (at 184.15 78.74 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "5f015023-e2f1-4d96-aeb1-03864d2f61b6") + (property "Reference" "U19" + (at 184.15 68.58 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Opamp_Quad" + (at 184.15 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 182.88 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2902-n.pdf" + (at 185.42 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Low-Power, Quad-Operational Amplifiers, DIP-14/SOIC-14/SSOP-14" + (at 184.15 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "5e804a69-6aa0-4097-81f1-6bda467a5ce8") + ) + (pin "2" + (uuid "25c2f58f-2117-4104-80e1-2c3a2707c786") + ) + (pin "3" + (uuid "026a8f06-ac32-40ab-ac77-c8df91018fbc") + ) + (pin "5" + (uuid "478f33b8-ecc2-425b-b988-fee00f6bf0de") + ) + (pin "6" + (uuid "ded84b1d-a3a0-4e0b-bd5b-e15e7dad18fd") + ) + (pin "7" + (uuid "1818f876-1575-4949-8889-f4b15ac68ece") + ) + (pin "10" + (uuid "d28309ca-1924-4efd-9723-85fba978abfc") + ) + (pin "8" + (uuid "69d7c26e-5a76-4e0c-8c2c-c7ab5a55d382") + ) + (pin "9" + (uuid "6f06d8c8-5ba6-425d-ad7c-d7246bb2cf96") + ) + (pin "12" + (uuid "5b03b9c0-d839-4a9b-a9b4-918fd945809a") + ) + (pin "13" + (uuid "a1fd67a6-9a9d-4dfd-a0e9-149f5eef3e29") + ) + (pin "14" + (uuid "9dadc612-cfc9-4029-9748-47b1deade22d") + ) + (pin "11" + (uuid "db29ff5c-7ef1-4072-9245-485a8471cb5f") + ) + (pin "4" + (uuid "c09fd685-d9c4-4200-82ac-886cd012ff06") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "U19") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 109.22 78.74 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "657b4236-6ac5-4d51-8387-bc76f8fed3e6") + (property "Reference" "#PWR089" + (at 109.22 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 109.22 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 109.22 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 109.22 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 109.22 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "3ae4d39b-62e6-4d44-ade9-c955a625fd65") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR089") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Amplifier_Operational:LM324") + (at 184.15 97.79 0) + (unit 2) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "73df5aa5-c5f1-41e5-932e-af5a69083463") + (property "Reference" "U19" + (at 184.15 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Opamp_Quad" + (at 184.15 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 182.88 95.25 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2902-n.pdf" + (at 185.42 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Low-Power, Quad-Operational Amplifiers, DIP-14/SOIC-14/SSOP-14" + (at 184.15 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "eecec790-1cb2-43dc-a7f3-b8699878bf7a") + ) + (pin "2" + (uuid "b28b75e0-3a57-41bf-8e68-c35482d5924b") + ) + (pin "3" + (uuid "575476b9-8dc5-4791-b9a5-6e7dfe1e7c4c") + ) + (pin "5" + (uuid "0141035d-3c8b-44ac-89bd-4743a84d1a8b") + ) + (pin "6" + (uuid "fec602be-3f17-4b91-8eee-dc469326896c") + ) + (pin "7" + (uuid "51b3dd39-a8d8-45e4-9f5f-bfb20f40d1f5") + ) + (pin "10" + (uuid "e0431413-e78c-4b84-8f76-79605d3a01df") + ) + (pin "8" + (uuid "0c3cc04e-d07e-4bdb-ad65-1e8a49d88959") + ) + (pin "9" + (uuid "1d3d3072-684b-447d-a887-2f0a24202240") + ) + (pin "12" + (uuid "84f021ea-859c-49b1-b681-eb1213a6ca70") + ) + (pin "13" + (uuid "5d553d84-7465-4d82-bd64-3a302198e1c0") + ) + (pin "14" + (uuid "a81c4f62-a498-4ff8-af36-73008123c035") + ) + (pin "11" + (uuid "101bd9da-10b4-4a4f-aae2-d03eff209089") + ) + (pin "4" + (uuid "6b149274-026a-48f5-aacb-a777a4648852") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "U19") + (unit 2) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 91.44 86.36 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "77d6396e-d071-48b6-9c7b-e44e1d56fbe7") + (property "Reference" "#PWR092" + (at 91.44 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 91.44 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 91.44 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 91.44 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 91.44 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "d73722c9-6e6e-4fb5-9117-b07f37528c06") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR092") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "YM21511:YM2151") + (at 62.23 87.63 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "81317e3d-510b-4112-9a3b-c91cf135f9a8") + (property "Reference" "U31" + (at 62.23 67.31 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "YM2151" + (at 62.23 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-24_W15.24mm_Socket" + (at 59.69 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://bitsavers.org/components/yamaha/YM2151_199112.pdf" + (at 59.69 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 62.23 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "cdaf1052-70b4-4d73-825a-b37fa4261d85") + ) + (pin "10" + (uuid "36dafc64-f079-47b0-a348-09575de99e22") + ) + (pin "11" + (uuid "d70dcf30-ad8c-4d3f-9c48-d2730014d91c") + ) + (pin "12" + (uuid "a52555b6-35b0-407c-8fb5-1392f753bafb") + ) + (pin "13" + (uuid "1167ff66-bc0b-4570-94dc-de4fb7c6d2b7") + ) + (pin "14" + (uuid "d1c6d5b0-7339-49f5-93bb-df15e9227767") + ) + (pin "15" + (uuid "f840f118-8a67-4f8d-a30d-8afcf17d79ba") + ) + (pin "16" + (uuid "9df805a5-0097-4795-8c16-b7ca823ecabd") + ) + (pin "17" + (uuid "a73644ae-4709-467f-a5d6-f4862e80e3fe") + ) + (pin "18" + (uuid "c05c1085-6c95-4d8e-8f84-7535b9b39b63") + ) + (pin "19" + (uuid "e15f7129-8ef9-4a3d-b765-8ea0e265406e") + ) + (pin "2" + (uuid "e8fa9a3b-0ae7-48b9-a58e-c21d7fba0a75") + ) + (pin "20" + (uuid "ed5eeabd-2377-486b-b95a-db6c4e509152") + ) + (pin "21" + (uuid "93bbe76d-7db3-4546-aea6-5d929532a8bf") + ) + (pin "22" + (uuid "bbe429aa-8a33-4cbc-bb5a-3c4a4d4a90d1") + ) + (pin "23" + (uuid "40f0e78b-0106-4d63-90bb-6c77a5c0dd8e") + ) + (pin "24" + (uuid "c728e584-7cc7-46b1-a35e-cc41b61d9ca6") + ) + (pin "3" + (uuid "c03396e3-6ba4-4709-ae36-5f4c83d421b2") + ) + (pin "4" + (uuid "b728ec6c-a801-4c98-880f-f3676d21b488") + ) + (pin "5" + (uuid "2d03ebcd-6201-4aa6-b483-5f44bcf10749") + ) + (pin "6" + (uuid "3de85b92-b5af-468c-9478-2c0219436567") + ) + (pin "7" + (uuid "3fbda42f-781b-450e-9c3a-e3a40b1607e2") + ) + (pin "8" + (uuid "bdd34a6f-111b-4ea6-a955-e7f740501c60") + ) + (pin "9" + (uuid "2aac0565-fbb6-494f-8304-2a8fd59df9aa") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "U31") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 166.37 121.92 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "925a9ce7-e048-4548-9eb1-d192230319d5") + (property "Reference" "#PWR087" + (at 166.37 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 166.37 127 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 166.37 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 166.37 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 166.37 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "3f083ef4-54d5-4024-bb3d-f79ccd87b35b") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR087") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 109.22 86.36 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "969c865b-d565-4438-9ecd-70b31bfa3341") + (property "Reference" "#PWR090" + (at 109.22 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 109.22 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 109.22 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 109.22 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 109.22 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "abc72253-3ab3-4d6e-bafc-66f0a150a194") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR090") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 214.63 91.44 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "9adcc544-e5b6-4a98-921d-6b7f56210bde") + (property "Reference" "#PWR086" + (at 214.63 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 214.63 96.52 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 214.63 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 214.63 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 214.63 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "e28b1fe9-ac08-45fe-8b18-d13066f2ac0d") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR086") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 163.83 137.16 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "9b9637dc-3d12-442c-af82-8986b9c147a2") + (property "Reference" "C5" + (at 167.64 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "3.3nF" + (at 167.64 138.43 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Disc_D9.0mm_W2.5mm_P5.00mm" + (at 164.7952 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 163.83 137.16 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 163.83 137.16 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "02987b51-e5c5-4267-a5dc-f7cbdcbd6473") + ) + (pin "2" + (uuid "8e6a7c29-c682-424b-a2c0-9b118ad43cde") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "C5") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 135.89 78.74 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "9e8fe76e-7776-4a64-b5e8-90bb87501a7c") + (property "Reference" "#PWR095" + (at 129.54 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 132.08 78.74 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 135.89 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 135.89 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 135.89 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f12a7b3f-0c7a-4a38-9d30-aea8dc681646") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR095") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 214.63 76.2 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a09d206c-72ca-4b3e-b080-d7165ad894ec") + (property "Reference" "#PWR085" + (at 214.63 80.01 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 214.63 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 214.63 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 214.63 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 214.63 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "d7b0df2a-1c88-46ad-a5ee-c18e32689f18") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR085") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 53.34 73.66 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a2c5af87-f474-49e1-9148-870f6661c267") + (property "Reference" "#PWR081" + (at 46.99 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 49.53 73.66 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 53.34 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 53.34 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 53.34 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "2cc08a1c-972f-4b8a-ae54-67aa75b5cf99") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR081") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Amplifier_Operational:LM324") + (at 217.17 83.82 0) + (unit 5) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a3a8806d-f1bf-46b1-9f6e-5397e32da45e") + (property "Reference" "U19" + (at 215.9 82.5499 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "Opamp_Quad" + (at 215.9 85.0899 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 215.9 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2902-n.pdf" + (at 218.44 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Low-Power, Quad-Operational Amplifiers, DIP-14/SOIC-14/SSOP-14" + (at 217.17 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "758330d9-3f72-4521-9ebc-9c0f47043331") + ) + (pin "2" + (uuid "8a4a961f-f85d-480b-a16c-9f6a3a566e2c") + ) + (pin "3" + (uuid "b4793cd1-b379-4d57-a200-02fc6730a3d5") + ) + (pin "5" + (uuid "e6552bc6-349b-43a1-9a54-01df88ea7671") + ) + (pin "6" + (uuid "5cb91f18-a91c-4f87-b3ef-617d3ef3d00a") + ) + (pin "7" + (uuid "06bb6bd0-8ca8-4959-b97c-2806ef336f95") + ) + (pin "10" + (uuid "0bdbd449-105b-4712-9da8-636f5afc9e1f") + ) + (pin "8" + (uuid "5b8ae162-ff10-4c0f-8ab4-719652ede570") + ) + (pin "9" + (uuid "a1473dcb-9181-4ed0-923b-b905a0c7b29f") + ) + (pin "12" + (uuid "5c6a2e62-ddad-494e-adab-a8e81610661f") + ) + (pin "13" + (uuid "0c57340d-c8a3-447a-bec8-5dc93bcdef65") + ) + (pin "14" + (uuid "263f187c-915b-4f29-b620-e7ffa824007d") + ) + (pin "11" + (uuid "3b1defd9-1ca9-4681-bde0-1c4dd20e17ac") + ) + (pin "4" + (uuid "902a17a2-9875-472a-adff-3bde42b52d5b") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "U19") + (unit 5) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 71.12 78.74 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "b6f241b6-f1c6-4ba3-821f-ca8be7afaa26") + (property "Reference" "#PWR094" + (at 67.31 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 74.93 78.74 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 71.12 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 71.12 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 71.12 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "df932710-7bbc-403b-bcdb-d7d1556ac916") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR094") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 172.72 100.33 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "bbced7c9-983b-460d-8c3d-979c751ba20c") + (property "Reference" "R8" + (at 172.72 93.98 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "100R" + (at 172.72 96.52 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal" + (at 172.72 102.108 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 172.72 100.33 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 172.72 100.33 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c36bde1c-377b-4dd6-a05f-2ab4f007e518") + ) + (pin "2" + (uuid "77e60d8f-e746-47f3-964b-c81103ff6c1e") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "R8") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Amplifier_Operational:LM324") + (at 184.15 135.89 0) + (unit 4) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c442c697-8fc8-4571-b60e-8898c2cf4b5e") + (property "Reference" "U19" + (at 184.15 125.73 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Opamp_Quad" + (at 184.15 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 182.88 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2902-n.pdf" + (at 185.42 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Low-Power, Quad-Operational Amplifiers, DIP-14/SOIC-14/SSOP-14" + (at 184.15 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "05449f40-8ae3-4f8e-b43f-ae03f8d12368") + ) + (pin "2" + (uuid "fb704196-adf9-4e64-a168-3e17623f0b6b") + ) + (pin "3" + (uuid "57947b1d-6921-4e8b-84d0-4842b0371c2c") + ) + (pin "5" + (uuid "ddb58f93-3522-4953-9e74-45331d90f8cf") + ) + (pin "6" + (uuid "5de085fa-ada4-4c0a-b938-87273280aaa9") + ) + (pin "7" + (uuid "e6dc8b06-c1ca-447d-a73b-99733ee4c6e3") + ) + (pin "10" + (uuid "4abdc809-246b-4545-891f-68bc8921835b") + ) + (pin "8" + (uuid "828c4964-0352-45f7-906a-06cac9e64df4") + ) + (pin "9" + (uuid "4e26e065-3307-46e6-b54f-eb9af4ab9e78") + ) + (pin "12" + (uuid "0e06d8e7-7d48-4cdd-add8-6a2180efcd97") + ) + (pin "13" + (uuid "29e43846-107a-4a64-a629-d0829ca9d14c") + ) + (pin "14" + (uuid "fd71500f-258a-4883-91de-3e43c16e90ec") + ) + (pin "11" + (uuid "9613aa72-c39d-47aa-9081-841b21d3a472") + ) + (pin "4" + (uuid "8d588293-e71b-4a1a-ac5e-43622e6cd49c") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "U19") + (unit 4) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 91.44 82.55 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ce5a6e2f-5ba3-42a6-a2d9-88e1f6d5645e") + (property "Reference" "C7" + (at 95.25 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 95.25 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 92.4052 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 91.44 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 91.44 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "a085c6c2-c0d8-4803-bea7-f673a915376d") + ) + (pin "2" + (uuid "e824de73-7ebe-4319-bd21-900cda21fd0c") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "C7") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 53.34 99.06 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "dbb8e277-dfa6-4eeb-92b1-c30554fb77ad") + (property "Reference" "#PWR082" + (at 46.99 99.06 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 49.53 99.06 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 53.34 99.06 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 53.34 99.06 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 53.34 99.06 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "bd9ebff5-e726-4ac1-ad00-90e060c77d2a") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR082") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 163.83 140.97 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e10704af-b0e8-4435-b76a-1b7e9f4c0858") + (property "Reference" "#PWR088" + (at 163.83 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 163.83 146.05 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 163.83 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 163.83 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 163.83 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "a1ee4a27-8135-4c98-ad2d-0e1dc8de95cd") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "#PWR088") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 207.01 83.82 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "e644b392-5d91-4d21-9f0b-6a131ba0595e") + (property "Reference" "C3" + (at 200.66 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 198.12 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 207.9752 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 207.01 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 207.01 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9f7d8a89-0638-4696-8f1d-9481c59cec56") + ) + (pin "2" + (uuid "bb70f1c7-18ff-4bc3-ab51-1ec97b000ccb") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "C3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 109.22 82.55 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e92ba947-836e-441f-b6d1-3c2a78f8c58d") + (property "Reference" "C6" + (at 113.03 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 113.03 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 110.1852 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 109.22 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 109.22 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "5efc3b23-4c52-4a60-b305-8cf62a3c55e3") + ) + (pin "2" + (uuid "a63ad62b-38a3-4c06-84f9-c3eb259df88d") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "C6") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:Speaker") + (at 248.92 91.44 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ed02cc13-59db-458d-9861-351df41ad1be") + (property "Reference" "LS2" + (at 254 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "10 Ohm Speaker" + (at 254 93.98 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_1x02_P2.54mm_Vertical" + (at 248.92 96.52 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 248.666 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 248.92 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b8f42e76-38d3-422b-8e21-df5aed57f419") + ) + (pin "2" + (uuid "5771204b-db9a-41cd-8e15-192a4ceff6f6") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "LS2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:Speaker") + (at 248.92 76.2 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f0a55852-65f2-4318-8030-571671e0dde7") + (property "Reference" "LS1" + (at 254 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "20 Ohm Speaker" + (at 254 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_1x02_P2.54mm_Vertical" + (at 248.92 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 248.666 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 248.92 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "2c9ca056-9760-40b4-a3ce-19056d5ddca1") + ) + (pin "2" + (uuid "5b76f7e9-9e94-47f9-9d34-6e875114526c") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "LS1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "YM21511:YM3012") + (at 146.05 82.55 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f6e2166d-5b9e-4ad4-8b26-0c45c1d1dcae") + (property "Reference" "U32" + (at 146.05 67.31 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "YM3012" + (at 146.05 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-16_W7.62mm_Socket" + (at 146.05 68.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://bitsavers.org/components/yamaha/YM3012_199204.pdf" + (at 146.05 68.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 146.05 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9e882f14-e574-4d83-9156-b83dc8d1f810") + ) + (pin "10" + (uuid "fc349af6-97c7-4cad-81fa-efac8c673f73") + ) + (pin "11" + (uuid "55dcf168-ae18-4a98-aa69-5f59ff1a5f23") + ) + (pin "12" + (uuid "b25b54a3-2e12-44b6-9696-8912915870e4") + ) + (pin "13" + (uuid "c955d688-6005-4673-b6a7-a97976c42008") + ) + (pin "14" + (uuid "4d80b34c-5ce1-4044-8e96-b7f58f196a08") + ) + (pin "15" + (uuid "f72254cc-6d9a-4464-a64b-b6d56095ce81") + ) + (pin "16" + (uuid "a2cd42b5-5a2e-4c8d-b0bd-c347bbecc996") + ) + (pin "2" + (uuid "2b50f6b8-aa15-4d4b-964d-caebc5571069") + ) + (pin "3" + (uuid "2f8739e6-5b2b-4db9-9df5-0effb866fcc9") + ) + (pin "4" + (uuid "66a87501-6b20-4ed4-8b29-7167c01e280c") + ) + (pin "5" + (uuid "a35b1619-9af7-42c7-9b2b-5b753bc07900") + ) + (pin "6" + (uuid "ec62d0df-75e4-4f92-9a47-4d9a46c9de74") + ) + (pin "7" + (uuid "ab6c08c4-5e8f-4fd7-a7ac-59e472ca58f4") + ) + (pin "8" + (uuid "59d76c7b-26f9-4c17-b6d5-bd1765768ec5") + ) + (pin "9" + (uuid "291a2b0a-faec-44f3-95e6-c37ef4d40690") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/e285a72c-60b6-49f6-88c2-a6ccdce241f4" + (reference "U32") + (unit 1) + ) + ) + ) + ) +) \ No newline at end of file diff --git a/george-backups/george-2024-02-02_132138.zip b/george-backups/george-2024-02-02_132138.zip deleted file mode 100644 index e57b295..0000000 Binary files a/george-backups/george-2024-02-02_132138.zip and /dev/null differ diff --git a/george-backups/george-2024-02-02_142207.zip b/george-backups/george-2024-02-02_142207.zip deleted file mode 100644 index e57b295..0000000 Binary files a/george-backups/george-2024-02-02_142207.zip and /dev/null differ diff --git a/george-backups/george-2024-02-09_150800.zip b/george-backups/george-2024-02-09_150800.zip deleted file mode 100644 index 2212cef..0000000 Binary files a/george-backups/george-2024-02-09_150800.zip and /dev/null differ diff --git a/george-backups/george-2024-03-03_170146.zip b/george-backups/george-2024-03-03_170146.zip deleted file mode 100644 index 1930b12..0000000 Binary files a/george-backups/george-2024-03-03_170146.zip and /dev/null differ diff --git a/george-backups/george-2024-03-03_173346.zip b/george-backups/george-2024-03-03_173346.zip deleted file mode 100644 index f46a2ee..0000000 Binary files a/george-backups/george-2024-03-03_173346.zip and /dev/null differ diff --git a/george-backups/george-2024-03-03_174507.zip b/george-backups/george-2024-03-03_174507.zip deleted file mode 100644 index 2977e44..0000000 Binary files a/george-backups/george-2024-03-03_174507.zip and /dev/null differ diff --git a/george-backups/george-2024-03-03_175820.zip b/george-backups/george-2024-03-03_175820.zip deleted file mode 100644 index b96311d..0000000 Binary files a/george-backups/george-2024-03-03_175820.zip and /dev/null differ diff --git a/george-backups/george-2024-03-03_180624.zip b/george-backups/george-2024-03-03_180624.zip deleted file mode 100644 index 6e61689..0000000 Binary files a/george-backups/george-2024-03-03_180624.zip and /dev/null differ diff --git a/george-backups/george-2024-03-04_143319.zip b/george-backups/george-2024-03-04_143319.zip deleted file mode 100644 index bdf935e..0000000 Binary files a/george-backups/george-2024-03-04_143319.zip and /dev/null differ diff --git a/george-backups/george-2024-03-29_170638.zip b/george-backups/george-2024-03-29_170638.zip deleted file mode 100644 index 2567f75..0000000 Binary files a/george-backups/george-2024-03-29_170638.zip and /dev/null differ diff --git a/george.kicad_prl b/george.kicad_prl index 92b03a2..d149f5c 100644 --- a/george.kicad_prl +++ b/george.kicad_prl @@ -2,7 +2,7 @@ "board": { "active_layer": 0, "active_layer_preset": "", - "auto_track_width": true, + "auto_track_width": false, "hidden_netclasses": [], "hidden_nets": [], "high_contrast_mode": 0, @@ -19,7 +19,7 @@ "footprints": true, "graphics": true, "keepouts": true, - "lockedItems": false, + "lockedItems": true, "otherItems": true, "pads": true, "text": true, @@ -59,7 +59,6 @@ 34, 35, 36, - 39, 40 ], "visible_layers": "fffffff_ffffffff", diff --git a/george.kicad_sch b/george.kicad_sch index 22cba83..acd2ba1 100644 --- a/george.kicad_sch +++ b/george.kicad_sch @@ -4471,7 +4471,7 @@ (justify left) ) ) - (property "Footprint" "" + (property "Footprint" "MountingHole:MountingHole_2.2mm_M2" (at 128.27 160.02 0) (effects (font @@ -4602,7 +4602,7 @@ (justify left) ) ) - (property "Footprint" "" + (property "Footprint" "MountingHole:MountingHole_2.2mm_M2" (at 128.27 175.26 0) (effects (font @@ -4732,7 +4732,7 @@ (justify left) ) ) - (property "Footprint" "" + (property "Footprint" "MountingHole:MountingHole_2.2mm_M2" (at 128.27 167.64 0) (effects (font @@ -5380,7 +5380,7 @@ (justify left) ) ) - (property "Footprint" "" + (property "Footprint" "TestPoint:TestPoint_Pad_D1.5mm" (at 161.29 163.83 0) (effects (font @@ -5618,7 +5618,7 @@ (justify left) ) ) - (property "Footprint" "" + (property "Footprint" "MountingHole:MountingHole_2.2mm_M2" (at 128.27 182.88 0) (effects (font diff --git a/memory.kicad_sch b/memory.kicad_sch index acf3f44..9920e43 100644 --- a/memory.kicad_sch +++ b/memory.kicad_sch @@ -2452,6 +2452,102 @@ ) ) ) + (symbol "GND_1" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_1_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_1_1_1" + (pin power_in line + (at 0 0 270) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) (symbol "Memory_EEPROM:28C256lcc" (exclude_from_sim no) (in_bom yes) @@ -7805,6 +7901,142 @@ ) ) ) + (symbol + (lib_name "GND_1") + (lib_id "power:GND") + (at -11.43 83.82 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "087e916d-4f84-4260-9d46-3d7cddf70104") + (property "Reference" "#PWR0124" + (at -17.78 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at -13.97 83.8199 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at -11.43 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at -11.43 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at -11.43 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "7c9dec9a-44a3-4b96-87f0-b5f35ff4da86") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR0124") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_name "GND_1") + (lib_id "power:GND") + (at -11.43 38.1 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "09d34a7f-60ee-400d-9a8f-3ff681ac2ea3") + (property "Reference" "#PWR0117" + (at -17.78 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at -13.97 38.0999 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at -11.43 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at -11.43 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at -11.43 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "5f548b20-dacc-4cf2-af09-73f00a9305f0") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR0117") + (unit 1) + ) + ) + ) + ) (symbol (lib_id "power:+5V") (at 213.36 95.25 0) @@ -8268,7 +8500,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 105.41 180.34 0) (effects (font @@ -8277,7 +8509,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 102.5652 182.88 0) (effects (font @@ -8556,6 +8788,74 @@ ) ) ) + (symbol + (lib_name "GND_1") + (lib_id "power:GND") + (at 3.81 40.64 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "41e82175-116f-407a-a0e4-5712e38b2730") + (property "Reference" "#PWR0116" + (at 10.16 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 7.62 40.6399 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 3.81 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 3.81 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 3.81 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "ffc82c35-a31e-4728-8692-76047fa2ca12") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR0116") + (unit 1) + ) + ) + ) + ) (symbol (lib_id "power:GND") (at 213.36 81.28 0) @@ -8840,7 +9140,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 57.15 180.34 0) (effects (font @@ -8849,7 +9149,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 54.3052 182.88 0) (effects (font @@ -9044,7 +9344,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 41.91 180.34 0) (effects (font @@ -9053,7 +9353,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 39.0652 182.88 0) (effects (font @@ -9095,6 +9395,74 @@ ) ) ) + (symbol + (lib_name "GND_1") + (lib_id "power:GND") + (at 3.81 59.69 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "734280f3-1ab2-41ac-ad44-f9f8c2030bff") + (property "Reference" "#PWR0119" + (at 10.16 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 7.62 59.6899 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 3.81 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 3.81 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 3.81 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "e53c6a0d-4597-430a-8543-d0442820a86c") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR0119") + (unit 1) + ) + ) + ) + ) (symbol (lib_id "74xx:74HC245") (at 69.85 48.26 180) @@ -9304,7 +9672,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 26.67 180.34 0) (effects (font @@ -9313,7 +9681,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 23.8252 182.88 0) (effects (font @@ -9422,6 +9790,74 @@ ) ) ) + (symbol + (lib_name "GND_1") + (lib_id "power:GND") + (at -11.43 57.15 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "82c6a870-c05e-4769-b92e-72d33d0ad7ef") + (property "Reference" "#PWR0120" + (at -17.78 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at -13.97 57.1499 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at -11.43 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at -11.43 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at -11.43 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "dc12b93b-0e98-4602-8001-484fc28c36ad") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR0120") + (unit 1) + ) + ) + ) + ) (symbol (lib_id "Memory_RAM:HM62256BLP") (at 213.36 58.42 0) @@ -9589,7 +10025,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 90.17 180.34 0) (effects (font @@ -9598,7 +10034,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 87.3252 182.88 0) (effects (font @@ -9832,7 +10268,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 73.66 180.34 0) (effects (font @@ -9841,7 +10277,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 70.8152 182.88 0) (effects (font @@ -10016,6 +10452,110 @@ ) ) ) + (symbol + (lib_id "74xx:74HC08") + (at -5.08 40.64 0) + (unit 2) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "9eda25d7-7281-42e4-b8a5-0302f38a2b59") + (property "Reference" "U25" + (at -3.8183 31.75 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC08" + (at -3.8183 34.29 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W10.16mm" + (at -5.08 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc08.pdf" + (at -5.08 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at -5.08 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "8e69cb26-989b-431a-adb0-92049c79e133") + ) + (pin "2" + (uuid "4417d85f-64cc-449d-90a9-505791a5b084") + ) + (pin "3" + (uuid "2e51191a-9441-4a5c-97a0-b65292f039b7") + ) + (pin "4" + (uuid "1b9289e9-ba14-4caf-892e-6f70c1de4bd8") + ) + (pin "5" + (uuid "b449a6ad-5f54-4b28-b428-9d335171cfcc") + ) + (pin "6" + (uuid "407e060e-b668-4e26-af5c-5c98a8dde06d") + ) + (pin "10" + (uuid "60ca152d-e981-4a27-a1cf-3c564a4e4e78") + ) + (pin "8" + (uuid "56caeb81-970b-4f88-a801-c32b06c07bd0") + ) + (pin "9" + (uuid "08e3db15-8d15-40f5-a205-9a4efc7144d0") + ) + (pin "11" + (uuid "baabb577-10b9-4504-9d12-7c49611e833a") + ) + (pin "12" + (uuid "9e4a1a2c-839a-437b-9d9b-8da697888e74") + ) + (pin "13" + (uuid "89437c7a-179c-4677-b92e-3f7a00e8da6c") + ) + (pin "14" + (uuid "49d63ad1-8011-4f8a-ad77-9ae9bc381f71") + ) + (pin "7" + (uuid "10d0d8d7-c7cb-471a-99a7-62aa38ba8642") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "U25") + (unit 2) + ) + ) + ) + ) (symbol (lib_id "74xx:74HC138") (at 85.09 128.27 0) @@ -10194,6 +10734,74 @@ ) ) ) + (symbol + (lib_name "GND_1") + (lib_id "power:GND") + (at -11.43 78.74 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "b81f9895-d1ff-47cc-be43-8b000d00ee04") + (property "Reference" "#PWR0123" + (at -17.78 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at -13.97 78.7399 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at -11.43 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at -11.43 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at -11.43 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "fa042d6b-f3bf-457a-b8a4-55948fc962bb") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR0123") + (unit 1) + ) + ) + ) + ) (symbol (lib_id "power:GND") (at 121.92 130.81 270) @@ -10475,6 +11083,74 @@ ) ) ) + (symbol + (lib_name "GND_1") + (lib_id "power:GND") + (at -11.43 43.18 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ce4092ca-3222-4520-9dfc-6c5e75fa9647") + (property "Reference" "#PWR0118" + (at -17.78 43.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at -13.97 43.1799 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at -11.43 43.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at -11.43 43.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at -11.43 43.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "8d90b4a1-4fd8-41d7-a784-c29b984ac575") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR0118") + (unit 1) + ) + ) + ) + ) (symbol (lib_id "74xx:74HC08") (at 85.09 88.9 0) @@ -10909,6 +11585,74 @@ ) ) ) + (symbol + (lib_name "GND_1") + (lib_id "power:GND") + (at -11.43 62.23 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ee86957d-47f6-4c09-a49d-b73c9a3347f1") + (property "Reference" "#PWR0121" + (at -17.78 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at -13.97 62.2299 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at -11.43 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at -11.43 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at -11.43 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b2448cf3-05a0-4b49-a7a3-47b5650a5e25") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR0121") + (unit 1) + ) + ) + ) + ) (symbol (lib_id "74xx:74HC373") (at 116.84 78.74 0) @@ -11099,4 +11843,72 @@ ) ) ) + (symbol + (lib_name "GND_1") + (lib_id "power:GND") + (at 3.81 81.28 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f7cabf7b-4234-48a8-82c2-3a80a3c3ece5") + (property "Reference" "#PWR0122" + (at 10.16 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 7.62 81.2799 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 3.81 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 3.81 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 3.81 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "95249c0f-37aa-4d7e-9276-67b0e66f2c1f") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR0122") + (unit 1) + ) + ) + ) + ) ) \ No newline at end of file diff --git a/memory.kicad_sch-bak b/memory.kicad_sch-bak index cdf309d..1449483 100644 --- a/memory.kicad_sch-bak +++ b/memory.kicad_sch-bak @@ -1,3999 +1,11102 @@ -(kicad_sch (version 20230121) (generator eeschema) - - (uuid 7874126d-114b-47d3-82dd-be34cbc2a9f7) - - (paper "A4") - - (title_block - (title "ʕ·ᴥ·ʔ-memory") - ) - - (lib_symbols - (symbol "74xx:74HC00" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (at 0 1.27 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC00" (at 0 -1.27 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hc00" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_locked" "" (at 0 0 0) - (effects (font (size 1.27 1.27))) - ) - (property "ki_keywords" "HCMOS nand 2-input" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "quad 2-input NAND gate" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP*W7.62mm* SO14*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "74HC00_1_1" - (arc (start 0 -3.81) (mid 3.7934 0) (end 0 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy 0 3.81) - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 0 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_1_2" - (arc (start -3.81 -3.81) (mid -2.589 0) (end -3.81 3.81) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (arc (start -0.6096 -3.81) (mid 2.1842 -2.5851) (end 3.81 0) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 3.81) - (xy -0.635 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -0.635 3.81) - (xy -3.81 3.81) - (xy -3.81 3.81) - (xy -3.556 3.4036) - (xy -3.0226 2.2606) - (xy -2.6924 1.0414) - (xy -2.6162 -0.254) - (xy -2.7686 -1.4986) - (xy -3.175 -2.7178) - (xy -3.81 -3.81) - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width -25.4) (type default)) - (fill (type background)) - ) - (arc (start 3.81 0) (mid 2.1915 2.5936) (end -0.6096 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input inverted (at -7.62 2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 -2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_2_1" - (arc (start 0 -3.81) (mid 3.7934 0) (end 0 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy 0 3.81) - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 0 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_2_2" - (arc (start -3.81 -3.81) (mid -2.589 0) (end -3.81 3.81) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (arc (start -0.6096 -3.81) (mid 2.1842 -2.5851) (end 3.81 0) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 3.81) - (xy -0.635 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -0.635 3.81) - (xy -3.81 3.81) - (xy -3.81 3.81) - (xy -3.556 3.4036) - (xy -3.0226 2.2606) - (xy -2.6924 1.0414) - (xy -2.6162 -0.254) - (xy -2.7686 -1.4986) - (xy -3.175 -2.7178) - (xy -3.81 -3.81) - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width -25.4) (type default)) - (fill (type background)) - ) - (arc (start 3.81 0) (mid 2.1915 2.5936) (end -0.6096 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input inverted (at -7.62 2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 -2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_3_1" - (arc (start 0 -3.81) (mid 3.7934 0) (end 0 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy 0 3.81) - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 0 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_3_2" - (arc (start -3.81 -3.81) (mid -2.589 0) (end -3.81 3.81) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (arc (start -0.6096 -3.81) (mid 2.1842 -2.5851) (end 3.81 0) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 3.81) - (xy -0.635 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -0.635 3.81) - (xy -3.81 3.81) - (xy -3.81 3.81) - (xy -3.556 3.4036) - (xy -3.0226 2.2606) - (xy -2.6924 1.0414) - (xy -2.6162 -0.254) - (xy -2.7686 -1.4986) - (xy -3.175 -2.7178) - (xy -3.81 -3.81) - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width -25.4) (type default)) - (fill (type background)) - ) - (arc (start 3.81 0) (mid 2.1915 2.5936) (end -0.6096 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input inverted (at -7.62 -2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_4_1" - (arc (start 0 -3.81) (mid 3.7934 0) (end 0 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy 0 3.81) - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 0 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_4_2" - (arc (start -3.81 -3.81) (mid -2.589 0) (end -3.81 3.81) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (arc (start -0.6096 -3.81) (mid 2.1842 -2.5851) (end 3.81 0) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 3.81) - (xy -0.635 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -0.635 3.81) - (xy -3.81 3.81) - (xy -3.81 3.81) - (xy -3.556 3.4036) - (xy -3.0226 2.2606) - (xy -2.6924 1.0414) - (xy -2.6162 -0.254) - (xy -2.7686 -1.4986) - (xy -3.175 -2.7178) - (xy -3.81 -3.81) - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width -25.4) (type default)) - (fill (type background)) - ) - (arc (start 3.81 0) (mid 2.1915 2.5936) (end -0.6096 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin output line (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 -2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_5_0" - (pin power_in line (at 0 12.7 270) (length 5.08) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -12.7 90) (length 5.08) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_5_1" - (rectangle (start -5.08 7.62) (end 5.08 -7.62) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - ) - (symbol "74xx:74HC08" (in_bom yes) (on_board yes) - (property "Reference" "U" (at 0 1.27 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC08" (at 0 0 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W10.16mm" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc08.pdf" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_locked" "" (at 0 0 0) - (effects (font (size 1.27 1.27))) - ) - (property "ki_keywords" "AND" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Quadruple 2-Input Positive-AND Gates" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "74HC08_1_1" - (polyline - (pts - (xy 1.27 3.81) - (xy -2.54 3.81) - (xy -2.54 -3.81) - (xy 1.27 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (arc (start 1.27 -3.81) (mid 5.0634 0) (end 1.27 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -6.35 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -6.35 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 8.89 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC08_2_1" - (polyline - (pts - (xy 1.27 3.81) - (xy -2.54 3.81) - (xy -2.54 -3.81) - (xy 1.27 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (arc (start 1.27 -3.81) (mid 5.0634 0) (end 1.27 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -6.35 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -6.35 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 8.89 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC08_3_1" - (polyline - (pts - (xy 1.27 3.81) - (xy -2.54 3.81) - (xy -2.54 -3.81) - (xy 1.27 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (arc (start 1.27 -3.81) (mid 5.0634 0) (end 1.27 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -6.35 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 8.89 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -6.35 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC08_4_1" - (polyline - (pts - (xy 1.27 3.81) - (xy -2.54 3.81) - (xy -2.54 -3.81) - (xy 1.27 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (arc (start 1.27 -3.81) (mid 5.0634 0) (end 1.27 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin output line (at 8.89 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -6.35 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -6.35 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC08_5_0" - (pin power_in line (at 0 12.7 270) (length 5.08) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -12.7 90) (length 5.08) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC08_5_1" - (rectangle (start -5.08 7.62) (end 5.08 -7.62) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - ) - (symbol "74xx:74HC137" (in_bom yes) (on_board yes) - (property "Reference" "U" (at -7.62 13.97 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - ) - (property "Value" "74HC137" (at 2.54 -11.43 0) - (effects (font (size 1.27 1.27)) (justify left top)) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/ds/symlink/cd74hc237.pdf" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "demux" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "3-to-8 line decoder/multiplexer with address latches, DIP-16/SOIC-16/SSOP-16" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP*W7.62mm* SOIC*3.9x9.9mm*P1.27mm* SSOP*5.3x6.2mm*P0.65mm*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "74HC137_0_1" - (rectangle (start -7.62 12.7) (end 7.62 -10.16) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - (symbol "74HC137_1_1" - (pin input line (at -10.16 10.16 0) (length 2.54) - (name "A0" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 10.16 -2.54 180) (length 2.54) - (name "~{Y5}" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 10.16 0 180) (length 2.54) - (name "~{Y4}" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 10.16 2.54 180) (length 2.54) - (name "~{Y3}" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 10.16 5.08 180) (length 2.54) - (name "~{Y2}" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 10.16 7.62 180) (length 2.54) - (name "~{Y1}" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 10.16 10.16 180) (length 2.54) - (name "~{Y0}" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 15.24 270) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 7.62 0) (length 2.54) - (name "A1" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 5.08 0) (length 2.54) - (name "A2" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 0 0) (length 2.54) - (name "~{LE}" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -5.08 0) (length 2.54) - (name "~{E1}" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -7.62 0) (length 2.54) - (name "E2" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 10.16 -7.62 180) (length 2.54) - (name "~{Y7}" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -12.7 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 10.16 -5.08 180) (length 2.54) - (name "~{Y6}" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "74xx:74HC14" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (at 0 1.27 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC14" (at 0 -1.27 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_locked" "" (at 0 0 0) - (effects (font (size 1.27 1.27))) - ) - (property "ki_keywords" "HCMOS not inverter" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Hex inverter schmitt trigger" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP*W7.62mm*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "74HC14_1_0" - (polyline - (pts - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 3.81 0) - (xy -3.81 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 0 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC14_1_1" - (polyline - (pts - (xy -1.905 -1.27) - (xy -1.905 1.27) - (xy -0.635 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.54 -1.27) - (xy -0.635 -1.27) - (xy -0.635 1.27) - (xy 0 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "74HC14_2_0" - (polyline - (pts - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 3.81 0) - (xy -3.81 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 0 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC14_2_1" - (polyline - (pts - (xy -1.905 -1.27) - (xy -1.905 1.27) - (xy -0.635 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.54 -1.27) - (xy -0.635 -1.27) - (xy -0.635 1.27) - (xy 0 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "74HC14_3_0" - (polyline - (pts - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 3.81 0) - (xy -3.81 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 0 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC14_3_1" - (polyline - (pts - (xy -1.905 -1.27) - (xy -1.905 1.27) - (xy -0.635 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.54 -1.27) - (xy -0.635 -1.27) - (xy -0.635 1.27) - (xy 0 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "74HC14_4_0" - (polyline - (pts - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 3.81 0) - (xy -3.81 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 0 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC14_4_1" - (polyline - (pts - (xy -1.905 -1.27) - (xy -1.905 1.27) - (xy -0.635 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.54 -1.27) - (xy -0.635 -1.27) - (xy -0.635 1.27) - (xy 0 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "74HC14_5_0" - (polyline - (pts - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 3.81 0) - (xy -3.81 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 0 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC14_5_1" - (polyline - (pts - (xy -1.905 -1.27) - (xy -1.905 1.27) - (xy -0.635 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.54 -1.27) - (xy -0.635 -1.27) - (xy -0.635 1.27) - (xy 0 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "74HC14_6_0" - (polyline - (pts - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 3.81 0) - (xy -3.81 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 0 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC14_6_1" - (polyline - (pts - (xy -1.905 -1.27) - (xy -1.905 1.27) - (xy -0.635 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.54 -1.27) - (xy -0.635 -1.27) - (xy -0.635 1.27) - (xy 0 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "74HC14_7_0" - (pin power_in line (at 0 12.7 270) (length 5.08) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -12.7 90) (length 5.08) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC14_7_1" - (rectangle (start -5.08 7.62) (end 5.08 -7.62) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - ) - (symbol "74xx:74HC245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (at -7.62 16.51 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC245" (at -7.62 -16.51 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_locked" "" (at 0 0 0) - (effects (font (size 1.27 1.27))) - ) - (property "ki_keywords" "HCMOS BUS 3State" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Octal BUS Transceivers, 3-State outputs" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP?20*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "74HC245_1_0" - (polyline - (pts - (xy -0.635 -1.27) - (xy -0.635 1.27) - (xy 0.635 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 -1.27) - (xy 0.635 -1.27) - (xy 0.635 1.27) - (xy 1.27 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (pin input line (at -12.7 -10.16 0) (length 5.08) - (name "A->B" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -20.32 90) (length 5.08) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 -5.08 180) (length 5.08) - (name "B7" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 -2.54 180) (length 5.08) - (name "B6" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 0 180) (length 5.08) - (name "B5" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 2.54 180) (length 5.08) - (name "B4" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 5.08 180) (length 5.08) - (name "B3" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 7.62 180) (length 5.08) - (name "B2" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 10.16 180) (length 5.08) - (name "B1" (effects (font (size 1.27 1.27)))) - (number "17" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 12.7 180) (length 5.08) - (name "B0" (effects (font (size 1.27 1.27)))) - (number "18" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -12.7 -12.7 0) (length 5.08) - (name "CE" (effects (font (size 1.27 1.27)))) - (number "19" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 12.7 0) (length 5.08) - (name "A0" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 20.32 270) (length 5.08) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "20" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 10.16 0) (length 5.08) - (name "A1" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 7.62 0) (length 5.08) - (name "A2" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 5.08 0) (length 5.08) - (name "A3" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 2.54 0) (length 5.08) - (name "A4" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 0 0) (length 5.08) - (name "A5" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 -2.54 0) (length 5.08) - (name "A6" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 -5.08 0) (length 5.08) - (name "A7" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC245_1_1" - (rectangle (start -7.62 15.24) (end 7.62 -15.24) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - ) - (symbol "74xx:74HC373" (in_bom yes) (on_board yes) - (property "Reference" "U" (at -7.62 16.51 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC373" (at -7.62 -16.51 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/cd54hc373.pdf" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "HCMOS REG DFF DFF8 LATCH" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "8-bit Latch, 3-state outputs" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP?20* SOIC?20* SO?20* SSOP?20* TSSOP?20*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "74HC373_1_0" - (pin input inverted (at -12.7 -12.7 0) (length 5.08) - (name "OE" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -20.32 90) (length 5.08) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -10.16 0) (length 5.08) - (name "LE" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 2.54 180) (length 5.08) - (name "O4" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 2.54 0) (length 5.08) - (name "D4" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 0 0) (length 5.08) - (name "D5" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 0 180) (length 5.08) - (name "O5" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 -2.54 180) (length 5.08) - (name "O6" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -2.54 0) (length 5.08) - (name "D6" (effects (font (size 1.27 1.27)))) - (number "17" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -5.08 0) (length 5.08) - (name "D7" (effects (font (size 1.27 1.27)))) - (number "18" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 -5.08 180) (length 5.08) - (name "O7" (effects (font (size 1.27 1.27)))) - (number "19" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 12.7 180) (length 5.08) - (name "O0" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 20.32 270) (length 5.08) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "20" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 12.7 0) (length 5.08) - (name "D0" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 10.16 0) (length 5.08) - (name "D1" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 10.16 180) (length 5.08) - (name "O1" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 7.62 180) (length 5.08) - (name "O2" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 7.62 0) (length 5.08) - (name "D2" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 5.08 0) (length 5.08) - (name "D3" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 5.08 180) (length 5.08) - (name "O3" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC373_1_1" - (rectangle (start -7.62 15.24) (end 7.62 -15.24) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - ) - (symbol "Memory_EEPROM:28C256" (in_bom yes) (on_board yes) - (property "Reference" "U" (at -7.62 26.67 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "28C256" (at 2.54 -26.67 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "Parallel EEPROM 256Kb" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Paged Parallel EEPROM 256Kb (32K x 8), DIP-28/SOIC-28" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP*W15.24mm* SOIC*7.5x17.9mm*P1.27mm*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "28C256_1_1" - (rectangle (start -7.62 25.4) (end 7.62 -25.4) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -10.16 -12.7 0) (length 2.54) - (name "A14" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 22.86 0) (length 2.54) - (name "A0" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 22.86 180) (length 2.54) - (name "D0" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 20.32 180) (length 2.54) - (name "D1" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 17.78 180) (length 2.54) - (name "D2" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -27.94 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 15.24 180) (length 2.54) - (name "D3" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 12.7 180) (length 2.54) - (name "D4" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 10.16 180) (length 2.54) - (name "D5" (effects (font (size 1.27 1.27)))) - (number "17" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 7.62 180) (length 2.54) - (name "D6" (effects (font (size 1.27 1.27)))) - (number "18" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 5.08 180) (length 2.54) - (name "D7" (effects (font (size 1.27 1.27)))) - (number "19" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -7.62 0) (length 2.54) - (name "A12" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -22.86 0) (length 2.54) - (name "~{CS}" (effects (font (size 1.27 1.27)))) - (number "20" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -2.54 0) (length 2.54) - (name "A10" (effects (font (size 1.27 1.27)))) - (number "21" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -20.32 0) (length 2.54) - (name "~{OE}" (effects (font (size 1.27 1.27)))) - (number "22" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -5.08 0) (length 2.54) - (name "A11" (effects (font (size 1.27 1.27)))) - (number "23" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 0 0) (length 2.54) - (name "A9" (effects (font (size 1.27 1.27)))) - (number "24" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 2.54 0) (length 2.54) - (name "A8" (effects (font (size 1.27 1.27)))) - (number "25" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -10.16 0) (length 2.54) - (name "A13" (effects (font (size 1.27 1.27)))) - (number "26" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -17.78 0) (length 2.54) - (name "~{WE}" (effects (font (size 1.27 1.27)))) - (number "27" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 27.94 270) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "28" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 5.08 0) (length 2.54) - (name "A7" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 7.62 0) (length 2.54) - (name "A6" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 10.16 0) (length 2.54) - (name "A5" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 12.7 0) (length 2.54) - (name "A4" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 15.24 0) (length 2.54) - (name "A3" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 17.78 0) (length 2.54) - (name "A2" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 20.32 0) (length 2.54) - (name "A1" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Memory_RAM:HM62256BLP" (in_bom yes) (on_board yes) - (property "Reference" "U" (at -10.16 20.955 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - ) - (property "Value" "HM62256BLP" (at 2.54 20.955 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - ) - (property "Footprint" "Package_DIP:DIP-28_W15.24mm" (at 0 -2.54 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://web.mit.edu/6.115/www/document/62256.pdf" (at 0 -2.54 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "RAM SRAM CMOS MEMORY" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "32,768-word × 8-bit High Speed CMOS Static RAM, 70ns, DIP-28" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP*W15.24mm*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "HM62256BLP_0_0" - (pin power_in line (at 0 -22.86 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 22.86 270) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "28" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "HM62256BLP_0_1" - (rectangle (start -10.16 20.32) (end 10.16 -20.32) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - (symbol "HM62256BLP_1_1" - (pin input line (at -12.7 -17.78 0) (length 2.54) - (name "A14" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 17.78 0) (length 2.54) - (name "A0" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 17.78 180) (length 2.54) - (name "Q0" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 15.24 180) (length 2.54) - (name "Q1" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 12.7 180) (length 2.54) - (name "Q2" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 10.16 180) (length 2.54) - (name "Q3" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 7.62 180) (length 2.54) - (name "Q4" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 5.08 180) (length 2.54) - (name "Q5" (effects (font (size 1.27 1.27)))) - (number "17" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 2.54 180) (length 2.54) - (name "Q6" (effects (font (size 1.27 1.27)))) - (number "18" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 0 180) (length 2.54) - (name "Q7" (effects (font (size 1.27 1.27)))) - (number "19" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -12.7 0) (length 2.54) - (name "A12" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at 12.7 -5.08 180) (length 2.54) - (name "~{CS}" (effects (font (size 1.27 1.27)))) - (number "20" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -7.62 0) (length 2.54) - (name "A10" (effects (font (size 1.27 1.27)))) - (number "21" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at 12.7 -10.16 180) (length 2.54) - (name "~{OE}" (effects (font (size 1.27 1.27)))) - (number "22" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -10.16 0) (length 2.54) - (name "A11" (effects (font (size 1.27 1.27)))) - (number "23" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -5.08 0) (length 2.54) - (name "A9" (effects (font (size 1.27 1.27)))) - (number "24" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -2.54 0) (length 2.54) - (name "A8" (effects (font (size 1.27 1.27)))) - (number "25" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -15.24 0) (length 2.54) - (name "A13" (effects (font (size 1.27 1.27)))) - (number "26" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at 12.7 -12.7 180) (length 2.54) - (name "~{WE}" (effects (font (size 1.27 1.27)))) - (number "27" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 0 0) (length 2.54) - (name "A7" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 2.54 0) (length 2.54) - (name "A6" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 5.08 0) (length 2.54) - (name "A5" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 7.62 0) (length 2.54) - (name "A4" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 10.16 0) (length 2.54) - (name "A3" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 12.7 0) (length 2.54) - (name "A2" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 15.24 0) (length 2.54) - (name "A1" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "kitty:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "R" (at 2.032 0 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "R" (at 0 0 90) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at -1.778 0 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "R res resistor" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Resistor" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "R_*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "R_0_1" - (rectangle (start -1.016 -2.54) (end 1.016 2.54) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - ) - (symbol "R_1_1" - (pin passive line (at 0 3.81 270) (length 1.27) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -3.81 90) (length 1.27) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "global power" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+5V_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "+5V_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+5V" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (at 0 -6.35 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 0 -3.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "global power" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "GND_0_1" - (polyline - (pts - (xy 0 0) - (xy 0 -1.27) - (xy 1.27 -1.27) - (xy 0 -2.54) - (xy -1.27 -1.27) - (xy 0 -1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "GND_1_1" - (pin power_in line (at 0 0 270) (length 0) hide - (name "GND" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - ) - - (junction (at 142.24 119.38) (diameter 0) (color 0 0 0 0) - (uuid 2e81f8d8-9c4d-4922-a70b-feb79bd4ab4e) - ) - (junction (at 146.05 78.74) (diameter 0) (color 0 0 0 0) - (uuid 43e5db68-8f47-42bf-8bfa-9cef59fed1e4) - ) - (junction (at 142.24 129.54) (diameter 0) (color 0 0 0 0) - (uuid 647dd565-1d44-4d2a-88e4-fc705672eb9d) - ) - (junction (at 68.58 133.35) (diameter 0) (color 0 0 0 0) - (uuid 6bd13ddf-32f0-4af3-a946-ce888223ecb4) - ) - (junction (at 142.24 132.08) (diameter 0) (color 0 0 0 0) - (uuid 81063409-7baf-4bbe-9bb9-c96eba1d07e0) - ) - (junction (at 147.32 81.28) (diameter 0) (color 0 0 0 0) - (uuid 8334fcfc-d1d3-4fd5-b4e2-937972875000) - ) - (junction (at 148.59 83.82) (diameter 0) (color 0 0 0 0) - (uuid 9ba83a0d-f788-41a8-b2a9-066aeb86c558) - ) - (junction (at 142.24 127) (diameter 0) (color 0 0 0 0) - (uuid d3a20e90-844c-463b-9bfd-1d53d23e6655) - ) - (junction (at 105.41 153.67) (diameter 0) (color 0 0 0 0) - (uuid df16dc1f-cc66-443d-9fde-1e29eacaa1c8) - ) - (junction (at 110.49 160.02) (diameter 0) (color 0 0 0 0) - (uuid e5be1a4a-7da8-4c66-9696-2b53871239dc) - ) - - (bus_entry (at 91.44 73.66) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 06d0da55-f1d2-4ea5-8e08-1a81714348a1) - ) - (bus_entry (at 110.49 116.84) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 0dbf6e5a-6ead-4a31-a5c4-cb779610dafa) - ) - (bus_entry (at 60.96 120.65) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 0e021b6c-3ead-445e-b328-d8a3fe3e50b3) - ) - (bus_entry (at 91.44 71.12) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 0e0451b5-c957-40d1-a7a6-d8649471888d) - ) - (bus_entry (at 187.96 71.12) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 0e61e7e1-57ef-40a2-a846-42ccf69d23f3) - ) - (bus_entry (at 88.9 53.34) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 1236e09a-d964-4a3f-96a3-0d001b33255f) - ) - (bus_entry (at 187.96 63.5) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 1aaf1cb6-943b-458b-be93-817883fc7eec) - ) - (bus_entry (at 187.96 50.8) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 20abeb9a-0ee4-43b9-ab58-f777ffc6c95e) - ) - (bus_entry (at 91.44 66.04) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 245b2ffb-7a47-4992-b1e6-8d7bcbc5569c) - ) - (bus_entry (at 232.41 105.41) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 27201f0f-7a95-401d-9cbe-3c7a02e14a9b) - ) - (bus_entry (at 232.41 113.03) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 2b628d06-4bf1-4963-955c-eb9c05d040b6) - ) - (bus_entry (at 91.44 81.28) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 2db76ba2-471e-4ea1-9472-7f7268bf05a0) - ) - (bus_entry (at 110.49 121.92) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 2fccf95f-4e4e-45c9-b129-753fc5e0a736) - ) - (bus_entry (at 187.96 66.04) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 30248231-12c5-4c84-8e53-20f9a0146805) - ) - (bus_entry (at 187.96 55.88) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 317f40cf-4908-4257-b98a-1e923fd43d03) - ) - (bus_entry (at 187.96 45.72) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 3985f0c9-6e3e-4525-be11-f69eadab1654) - ) - (bus_entry (at 88.9 43.18) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 3ae6e8ee-2806-4d01-a8e4-30bd1567d950) - ) - (bus_entry (at 232.41 110.49) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 3bfc72b2-9d73-471b-977e-0fb65af049cd) - ) - (bus_entry (at 187.96 48.26) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 3da61996-285e-4050-b759-6cab789ea701) - ) - (bus_entry (at 232.41 102.87) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 3e1d483d-e762-462b-9a46-631705a99261) - ) - (bus_entry (at 88.9 50.8) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 3fb148a9-cfdc-4e08-ae99-00fd20321db7) - ) - (bus_entry (at 60.96 118.11) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 474f8255-6e48-4e9d-8145-b88946b2fb53) - ) - (bus_entry (at 232.41 50.8) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 49c180c7-420e-4c1d-a516-5ae49aa7d7a2) - ) - (bus_entry (at 187.96 120.65) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 4d295415-647f-4b30-aa01-ec3ec46dd2f5) - ) - (bus_entry (at 232.41 107.95) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 51e8c9fe-08d7-4e60-92ea-e23c919bb618) - ) - (bus_entry (at 232.41 48.26) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 534215a7-97b1-4849-88f5-009fdf47015e) - ) - (bus_entry (at 187.96 107.95) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 58aabd34-1975-4b3a-a82a-9896fdea504a) - ) - (bus_entry (at 187.96 110.49) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 59396f23-f7a8-449a-9795-e9114549b0f6) - ) - (bus_entry (at 91.44 76.2) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 5f32a19d-eac4-415d-b1d5-567370084365) - ) - (bus_entry (at 187.96 123.19) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 5fe2276d-bc05-40e3-88e7-adf141d30b10) - ) - (bus_entry (at 187.96 113.03) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 6326d352-9f76-45c5-a757-0a4981070abc) - ) - (bus_entry (at 187.96 130.81) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 6f726093-b0e6-4519-b9db-6f55be204361) - ) - (bus_entry (at 187.96 40.64) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 70158807-b288-419f-a46d-8d823f7a453e) - ) - (bus_entry (at 187.96 60.96) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 73054545-17b0-4c30-bd33-1c2cb84175db) - ) - (bus_entry (at 232.41 100.33) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 79f64bf4-4fcf-48bf-8a95-6cfa8c0672f2) - ) - (bus_entry (at 187.96 97.79) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 81c3eb94-4284-44c1-b1fe-0954e760a183) - ) - (bus_entry (at 232.41 53.34) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 8bf4d5ea-73fa-4235-93f3-7b0d0646c0e7) - ) - (bus_entry (at 187.96 100.33) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 91e7ee82-926b-4798-82bb-0c68853231f0) - ) - (bus_entry (at 232.41 40.64) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 9bfb098e-5f50-4644-b3d0-6f3764b402a2) - ) - (bus_entry (at 232.41 58.42) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 9f2b32f0-292d-43ea-aeaf-a00828bb0499) - ) - (bus_entry (at 110.49 119.38) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid aa31cb70-5984-4610-b829-b67909e3d776) - ) - (bus_entry (at 187.96 102.87) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid aa69efa6-a251-4f94-b29e-41d15fe1c340) - ) - (bus_entry (at 187.96 58.42) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid ab088ee4-0d28-4077-bcaa-92a84a8d07c4) - ) - (bus_entry (at 187.96 118.11) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid abf27024-5d45-4fb9-a173-5c861019ba80) - ) - (bus_entry (at 91.44 68.58) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid ad94b319-4ba2-4b3c-9e2d-fb25c628b653) - ) - (bus_entry (at 187.96 128.27) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid add813bf-4d00-4b3c-8743-6240e74219bd) - ) - (bus_entry (at 187.96 125.73) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid b4924c96-f588-42c1-8436-78d455aaab38) - ) - (bus_entry (at 232.41 55.88) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid b616f063-1667-4433-86d9-cc447519ea48) - ) - (bus_entry (at 232.41 43.18) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid ba646949-0f78-4fdc-8e6d-42d0ac9e324f) - ) - (bus_entry (at 232.41 115.57) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid bf334738-c800-41ca-8b58-01a133a84baa) - ) - (bus_entry (at 187.96 43.18) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid c14ae6da-a6e1-41d1-a58c-a8fc57717a78) - ) - (bus_entry (at 91.44 78.74) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid c30c592f-29d0-4540-8c37-2135f02f74db) - ) - (bus_entry (at 91.44 63.5) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid c54d57ed-2d79-4863-9a4f-24d6b81258ee) - ) - (bus_entry (at 60.96 115.57) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid cb27733d-dad5-45d0-b518-cdfb6c72a48b) - ) - (bus_entry (at 88.9 58.42) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid d554b636-baf8-40ec-a9ad-b69c5fc7a3fa) - ) - (bus_entry (at 232.41 118.11) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid deb776c0-182d-4dab-8740-786947aee6ae) - ) - (bus_entry (at 187.96 105.41) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid e242c62b-959f-4377-8c0e-64ec86cd74de) - ) - (bus_entry (at 187.96 38.1) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid e72d666d-f77a-4902-83bb-32507cfa014b) - ) - (bus_entry (at 232.41 45.72) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid e75a7af2-8eb2-4308-b733-6e769e275aab) - ) - (bus_entry (at 88.9 48.26) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid ea611aa7-158e-40af-ac11-9af06047d400) - ) - (bus_entry (at 88.9 55.88) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid ef84a673-f599-43ae-be3d-ada314038492) - ) - (bus_entry (at 187.96 53.34) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid f434ffd6-412d-42e3-826e-17d093275870) - ) - (bus_entry (at 88.9 45.72) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid f6457fd9-1cca-49bd-9341-b2b32208523a) - ) - (bus_entry (at 187.96 68.58) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid fd5fcfef-6596-47fb-a698-a9411e0dd530) - ) - (bus_entry (at 88.9 60.96) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid fd8b569e-a818-4576-bcf9-780dd6439d3a) - ) - - (wire (pts (xy 223.52 107.95) (xy 232.41 107.95)) - (stroke (width 0) (type default)) - (uuid 0485f232-d8b4-4871-9eb2-6029a2c6d73b) - ) - (wire (pts (xy 82.55 53.34) (xy 88.9 53.34)) - (stroke (width 0) (type default)) - (uuid 04eddf11-5b0b-41c3-8694-779f67dd9cfe) - ) - (wire (pts (xy 63.5 120.65) (xy 74.93 120.65)) - (stroke (width 0) (type default)) - (uuid 0611ec09-57c5-48d7-a737-dbaad9c747b0) - ) - (wire (pts (xy 190.5 48.26) (xy 200.66 48.26)) - (stroke (width 0) (type default)) - (uuid 063667f8-ab7d-492c-943d-02893986bb4d) - ) - (bus (pts (xy 187.96 102.87) (xy 187.96 105.41)) - (stroke (width 0) (type default)) - (uuid 0678e040-3df3-4ef2-8264-6dc9a079f805) - ) - - (wire (pts (xy 229.87 63.5) (xy 226.06 63.5)) - (stroke (width 0) (type default)) - (uuid 08db528d-c039-452b-8215-0d20c236688b) - ) - (bus (pts (xy 187.96 63.5) (xy 187.96 66.04)) - (stroke (width 0) (type default)) - (uuid 0a853e09-22ea-45f7-a881-554cbca2bae3) - ) - (bus (pts (xy 187.96 105.41) (xy 187.96 107.95)) - (stroke (width 0) (type default)) - (uuid 0ba50b4b-7148-481a-9be8-7fee6ea51506) - ) - (bus (pts (xy 91.44 71.12) (xy 91.44 73.66)) - (stroke (width 0) (type default)) - (uuid 0c463162-e1a4-4487-a831-4ac694829cd8) - ) - - (wire (pts (xy 54.61 48.26) (xy 57.15 48.26)) - (stroke (width 0) (type default)) - (uuid 0ca227e5-c22d-4e7b-8dc6-6539dae4884e) - ) - (wire (pts (xy 57.15 29.21) (xy 57.15 43.18)) - (stroke (width 0) (type default)) - (uuid 0dacebb5-5a25-4760-af1a-04c311871123) - ) - (wire (pts (xy 226.06 50.8) (xy 232.41 50.8)) - (stroke (width 0) (type default)) - (uuid 12a90996-d366-4040-b4a8-223666018b61) - ) - (bus (pts (xy 187.96 100.33) (xy 187.96 102.87)) - (stroke (width 0) (type default)) - (uuid 13a6f9b1-8c28-43f0-98b0-0331f391d4db) - ) - - (wire (pts (xy 105.41 153.67) (xy 148.59 153.67)) - (stroke (width 0) (type default)) - (uuid 149c781c-6128-4785-ad3e-242696179cde) - ) - (bus (pts (xy 187.96 40.64) (xy 187.96 43.18)) - (stroke (width 0) (type default)) - (uuid 166fd424-dd35-4e5f-8117-5e97ec81b86b) - ) - - (wire (pts (xy 82.55 60.96) (xy 88.9 60.96)) - (stroke (width 0) (type default)) - (uuid 167ffcc5-ce71-40ac-9fee-9c054fdd5bd0) - ) - (bus (pts (xy 187.96 60.96) (xy 187.96 63.5)) - (stroke (width 0) (type default)) - (uuid 19b7ad4d-02a4-4f89-8b1e-f6c47aed9a41) - ) - - (wire (pts (xy 223.52 115.57) (xy 232.41 115.57)) - (stroke (width 0) (type default)) - (uuid 1a50974b-dede-4374-885e-80f14669c127) - ) - (wire (pts (xy 223.52 105.41) (xy 232.41 105.41)) - (stroke (width 0) (type default)) - (uuid 1ea56f5e-5777-4006-b137-3ec899401fc7) - ) - (wire (pts (xy 55.88 30.48) (xy 55.88 45.72)) - (stroke (width 0) (type default)) - (uuid 21749c09-7763-48be-9130-a3eb25b90b6b) - ) - (wire (pts (xy 199.39 118.11) (xy 199.39 81.28)) - (stroke (width 0) (type default)) - (uuid 223c4f7f-9aed-43e0-a58b-076c50836444) - ) - (wire (pts (xy 146.05 78.74) (xy 146.05 31.75)) - (stroke (width 0) (type default)) - (uuid 227a9285-43a2-4ba7-bb05-872d5c840542) - ) - (bus (pts (xy 91.44 25.4) (xy 91.44 45.72)) - (stroke (width 0) (type default)) - (uuid 232cdc39-8330-4986-b38a-0e65d5b3b755) - ) - - (wire (pts (xy 146.05 78.74) (xy 200.66 78.74)) - (stroke (width 0) (type default)) - (uuid 2339f05b-9edc-42f4-9165-ce3b09c6caf8) - ) - (bus (pts (xy 234.95 102.87) (xy 234.95 105.41)) - (stroke (width 0) (type default)) - (uuid 23fc6a53-0013-46e6-8646-3475c5e2116d) - ) - (bus (pts (xy 187.96 55.88) (xy 187.96 58.42)) - (stroke (width 0) (type default)) - (uuid 249b1435-506d-4726-93d8-cf5dd0fdcfc3) - ) - (bus (pts (xy 91.44 45.72) (xy 91.44 48.26)) - (stroke (width 0) (type default)) - (uuid 28754d34-1733-4dcd-89b7-317b4e56a787) - ) - (bus (pts (xy 187.96 38.1) (xy 187.96 40.64)) - (stroke (width 0) (type default)) - (uuid 2a243861-9a2f-4ea5-a0d6-0e678ebc7a42) - ) - (bus (pts (xy 187.96 68.58) (xy 187.96 71.12)) - (stroke (width 0) (type default)) - (uuid 2f0b377e-0c8c-45b2-a644-91c1222c8007) - ) - (bus (pts (xy 187.96 45.72) (xy 187.96 48.26)) - (stroke (width 0) (type default)) - (uuid 2f85d705-cb5e-4f76-a880-e3aab2ec78b0) - ) - (bus (pts (xy 234.95 48.26) (xy 234.95 50.8)) - (stroke (width 0) (type default)) - (uuid 31b6fc98-3821-4a6a-aa85-f395c87759b7) - ) - - (wire (pts (xy 82.55 50.8) (xy 88.9 50.8)) - (stroke (width 0) (type default)) - (uuid 3563be9b-bdce-4201-a942-ea06513e6396) - ) - (bus (pts (xy 234.95 53.34) (xy 234.95 55.88)) - (stroke (width 0) (type default)) - (uuid 365c95c4-b9be-4bf2-89b2-c9539139ab5e) - ) - - (wire (pts (xy 82.55 45.72) (xy 88.9 45.72)) - (stroke (width 0) (type default)) - (uuid 39ab5c27-fbe8-46f8-b97c-a394f407d7c1) - ) - (wire (pts (xy 190.5 66.04) (xy 200.66 66.04)) - (stroke (width 0) (type default)) - (uuid 39e20082-ca13-40c6-88af-a08137ddd173) - ) - (wire (pts (xy 190.5 120.65) (xy 203.2 120.65)) - (stroke (width 0) (type default)) - (uuid 3b785e3e-4df9-4e92-99b1-72e04735f38b) - ) - (wire (pts (xy 147.32 81.28) (xy 147.32 30.48)) - (stroke (width 0) (type default)) - (uuid 3bc7950b-7d2f-46e4-b90f-df0b344f59e0) - ) - (bus (pts (xy 91.44 58.42) (xy 91.44 60.96)) - (stroke (width 0) (type default)) - (uuid 3f282526-b79d-4cc7-b1d1-ac9cc9e6d3b8) - ) - - (wire (pts (xy 226.06 43.18) (xy 232.41 43.18)) - (stroke (width 0) (type default)) - (uuid 3fb44fc3-5071-4046-a2ea-786065d3d06d) - ) - (bus (pts (xy 187.96 110.49) (xy 187.96 113.03)) - (stroke (width 0) (type default)) - (uuid 3fb9c98e-ad09-4f1c-bf1d-392a62a66b70) - ) - - (wire (pts (xy 190.5 107.95) (xy 203.2 107.95)) - (stroke (width 0) (type default)) - (uuid 3fe427bf-7aff-465c-876c-a58b5e1de230) - ) - (wire (pts (xy 226.06 40.64) (xy 232.41 40.64)) - (stroke (width 0) (type default)) - (uuid 43d8aea5-1168-457e-ba97-f93156652d15) - ) - (wire (pts (xy 190.5 130.81) (xy 203.2 130.81)) - (stroke (width 0) (type default)) - (uuid 4422e942-6bd1-4003-8bf3-384ed50db781) - ) - (wire (pts (xy 190.5 128.27) (xy 203.2 128.27)) - (stroke (width 0) (type default)) - (uuid 444f9bf3-8018-48b2-8897-0c01a0b012d2) - ) - (wire (pts (xy 82.55 48.26) (xy 88.9 48.26)) - (stroke (width 0) (type default)) - (uuid 44b02512-4c3f-436a-bfe9-9c93974d2396) - ) - (wire (pts (xy 190.5 50.8) (xy 200.66 50.8)) - (stroke (width 0) (type default)) - (uuid 4614ce45-31e3-4531-a26b-2e14d54684b6) - ) - (wire (pts (xy 190.5 55.88) (xy 200.66 55.88)) - (stroke (width 0) (type default)) - (uuid 464880ad-8744-4d20-9d1b-16ab98f8993a) - ) - (bus (pts (xy 110.49 160.02) (xy 187.96 160.02)) - (stroke (width 0) (type default)) - (uuid 46c7ae28-20c6-483a-b3e0-df0802ff548f) - ) - (bus (pts (xy 234.95 105.41) (xy 234.95 107.95)) - (stroke (width 0) (type default)) - (uuid 47224880-e070-4f6a-a806-dfd1dae284bb) - ) - - (wire (pts (xy 96.52 102.87) (xy 96.52 118.11)) - (stroke (width 0) (type default)) - (uuid 47c7b205-3527-4fd8-8d10-9b816aa74c24) - ) - (bus (pts (xy 187.96 125.73) (xy 187.96 128.27)) - (stroke (width 0) (type default)) - (uuid 4c16932e-f703-49be-8fba-53f31e706afd) - ) - - (wire (pts (xy 190.5 45.72) (xy 200.66 45.72)) - (stroke (width 0) (type default)) - (uuid 4d51caad-bba0-4203-af33-6f41bc3b4994) - ) - (wire (pts (xy 142.24 137.16) (xy 156.21 137.16)) - (stroke (width 0) (type default)) - (uuid 4d61c0bf-0d23-4e08-9b0d-ebfbdf524e42) - ) - (wire (pts (xy 190.5 100.33) (xy 203.2 100.33)) - (stroke (width 0) (type default)) - (uuid 4dcc50f4-63a8-4db3-86a9-d4140cd0d9ff) - ) - (bus (pts (xy 91.44 76.2) (xy 91.44 78.74)) - (stroke (width 0) (type default)) - (uuid 51e91551-02a8-495b-8792-09671832e536) - ) - - (wire (pts (xy 148.59 124.46) (xy 148.59 153.67)) - (stroke (width 0) (type default)) - (uuid 5214fe05-4931-430a-800c-89d96c5cacd5) - ) - (wire (pts (xy 113.03 119.38) (xy 121.92 119.38)) - (stroke (width 0) (type default)) - (uuid 525dc7ab-68b5-4726-a55a-ce778061238c) - ) - (wire (pts (xy 63.5 118.11) (xy 74.93 118.11)) - (stroke (width 0) (type default)) - (uuid 53a826b7-618c-4823-97c4-415fc03a4430) - ) - (bus (pts (xy 110.49 121.92) (xy 110.49 160.02)) - (stroke (width 0) (type default)) - (uuid 57700250-bd6d-4f11-9524-1a1d0e9ff80b) - ) - - (wire (pts (xy 93.98 73.66) (xy 104.14 73.66)) - (stroke (width 0) (type default)) - (uuid 5938eda7-cc17-4c7e-b57d-ff115c43c9b6) - ) - (wire (pts (xy 93.98 68.58) (xy 104.14 68.58)) - (stroke (width 0) (type default)) - (uuid 5d5f086e-3c83-4516-b890-de0751d59fab) - ) - (wire (pts (xy 190.5 125.73) (xy 203.2 125.73)) - (stroke (width 0) (type default)) - (uuid 5dc172ef-7fb6-4d98-9181-17a33d7925d5) - ) - (wire (pts (xy 190.5 43.18) (xy 200.66 43.18)) - (stroke (width 0) (type default)) - (uuid 5fdd6e6f-4c5d-4ee8-ba68-958bdc1668a8) - ) - (wire (pts (xy 190.5 63.5) (xy 200.66 63.5)) - (stroke (width 0) (type default)) - (uuid 634fd9b3-25e8-4c20-9cf1-aebf5e012a7b) - ) - (wire (pts (xy 63.5 123.19) (xy 74.93 123.19)) - (stroke (width 0) (type default)) - (uuid 64b8a705-a8c1-4f3c-b76a-9ba08ef044c2) - ) - (wire (pts (xy 148.59 83.82) (xy 148.59 29.21)) - (stroke (width 0) (type default)) - (uuid 64ff10fc-b462-4088-9280-f8be3b93eef2) - ) - (bus (pts (xy 234.95 110.49) (xy 234.95 113.03)) - (stroke (width 0) (type default)) - (uuid 65908854-0494-4f9c-b8bf-22eb144049cb) - ) - - (wire (pts (xy 82.55 43.18) (xy 88.9 43.18)) - (stroke (width 0) (type default)) - (uuid 678fee11-3f81-4f96-907b-6fdbdf3aa02b) - ) - (wire (pts (xy 147.32 81.28) (xy 199.39 81.28)) - (stroke (width 0) (type default)) - (uuid 68883454-45b1-4a61-8a9e-177492bec9cb) - ) - (bus (pts (xy 234.95 50.8) (xy 234.95 53.34)) - (stroke (width 0) (type default)) - (uuid 69a280dd-c8ad-4faa-b05a-07aff5176e93) - ) - (bus (pts (xy 234.95 115.57) (xy 234.95 118.11)) - (stroke (width 0) (type default)) - (uuid 69a7a4a6-0df2-4e33-a383-f8014396e389) - ) - - (wire (pts (xy 156.21 137.16) (xy 156.21 146.05)) - (stroke (width 0) (type default)) - (uuid 69e744dc-2a13-42be-afec-d6be5039292c) - ) - (bus (pts (xy 187.96 118.11) (xy 187.96 120.65)) - (stroke (width 0) (type default)) - (uuid 6a2431f6-3164-41e3-a0cf-1881a44d1886) - ) - (bus (pts (xy 60.96 118.11) (xy 60.96 120.65)) - (stroke (width 0) (type default)) - (uuid 6b888154-ea8f-41a8-828d-07e941431a7d) - ) - - (wire (pts (xy 190.5 68.58) (xy 200.66 68.58)) - (stroke (width 0) (type default)) - (uuid 6d850d68-6a07-4d66-b9b7-c1309068d27d) - ) - (bus (pts (xy 234.95 45.72) (xy 234.95 48.26)) - (stroke (width 0) (type default)) - (uuid 6e78952b-cde2-43c5-8d37-0104bfbf5f6e) - ) - (bus (pts (xy 187.96 48.26) (xy 187.96 50.8)) - (stroke (width 0) (type default)) - (uuid 6fa0403b-80d2-4855-a1f7-f908f05f9a28) - ) - - (wire (pts (xy 93.98 71.12) (xy 104.14 71.12)) - (stroke (width 0) (type default)) - (uuid 70b255b0-9cab-4239-bf19-c83139705a1a) - ) - (wire (pts (xy 199.39 118.11) (xy 203.2 118.11)) - (stroke (width 0) (type default)) - (uuid 750bb180-f918-4f0c-996d-126cf1c5a3cc) - ) - (bus (pts (xy 187.96 66.04) (xy 187.96 68.58)) - (stroke (width 0) (type default)) - (uuid 784a23ef-e538-4c0b-ae5e-f3ada60b3db7) - ) - - (wire (pts (xy 93.98 81.28) (xy 104.14 81.28)) - (stroke (width 0) (type default)) - (uuid 786d50f7-ac01-4db7-934b-8cc667f36bb3) - ) - (bus (pts (xy 234.95 55.88) (xy 234.95 58.42)) - (stroke (width 0) (type default)) - (uuid 79b3be1c-ee47-4020-8bf1-4d09a5f413e7) - ) - - (wire (pts (xy 55.88 45.72) (xy 57.15 45.72)) - (stroke (width 0) (type default)) - (uuid 7b558cf5-21ec-4899-8201-ae08c08c25e5) - ) - (wire (pts (xy 196.85 135.89) (xy 203.2 135.89)) - (stroke (width 0) (type default)) - (uuid 7baf5881-6735-4d70-bee1-13e24a205c54) - ) - (bus (pts (xy 187.96 113.03) (xy 187.96 118.11)) - (stroke (width 0) (type default)) - (uuid 7bc6dd08-7b9a-49eb-b8af-03ed6f7142ae) - ) - - (wire (pts (xy 129.54 78.74) (xy 146.05 78.74)) - (stroke (width 0) (type default)) - (uuid 7d3e33d3-7616-4b6c-be56-f4954a53025b) - ) - (wire (pts (xy 190.5 53.34) (xy 200.66 53.34)) - (stroke (width 0) (type default)) - (uuid 7d58ea38-9f45-4c6c-b2db-3da65a39f893) - ) - (wire (pts (xy 93.98 83.82) (xy 104.14 83.82)) - (stroke (width 0) (type default)) - (uuid 7e77dba6-0960-4b1f-9fa7-7f079214509e) - ) - (wire (pts (xy 113.03 121.92) (xy 121.92 121.92)) - (stroke (width 0) (type default)) - (uuid 7f2e77a6-942e-421c-b7ea-9739c67db908) - ) - (wire (pts (xy 223.52 102.87) (xy 232.41 102.87)) - (stroke (width 0) (type default)) - (uuid 7f42e9db-5e00-42b2-9737-d3d8ee261458) - ) - (bus (pts (xy 187.96 53.34) (xy 187.96 55.88)) - (stroke (width 0) (type default)) - (uuid 7feae5c7-4d6b-4ab5-80c0-8fc3300bf435) - ) - (bus (pts (xy 234.95 113.03) (xy 234.95 115.57)) - (stroke (width 0) (type default)) - (uuid 80f37eeb-fa95-47b8-a19a-4e6e35f80ac8) - ) - - (wire (pts (xy 78.74 102.87) (xy 81.28 102.87)) - (stroke (width 0) (type default)) - (uuid 83c4c6cc-3cd2-4d62-807f-1c233c97bbd0) - ) - (wire (pts (xy 74.93 133.35) (xy 68.58 133.35)) - (stroke (width 0) (type default)) - (uuid 864d0c8b-962d-42b7-8ccb-c4a582632078) - ) - (wire (pts (xy 190.5 73.66) (xy 200.66 73.66)) - (stroke (width 0) (type default)) - (uuid 865ce7d8-f666-4583-a6c1-16e08e266e9e) - ) - (bus (pts (xy 187.96 120.65) (xy 187.96 123.19)) - (stroke (width 0) (type default)) - (uuid 89699406-4393-414b-9960-ae481e640633) - ) - - (wire (pts (xy 82.55 55.88) (xy 88.9 55.88)) - (stroke (width 0) (type default)) - (uuid 8a6c02da-d103-4eb4-ab45-5e011473c3c4) - ) - (wire (pts (xy 54.61 31.75) (xy 146.05 31.75)) - (stroke (width 0) (type default)) - (uuid 8af5a3fe-6d9e-400e-b7bf-4fa180b855de) - ) - (bus (pts (xy 91.44 53.34) (xy 91.44 55.88)) - (stroke (width 0) (type default)) - (uuid 8ddbad1b-9917-4417-81f7-4117dec56b53) - ) - - (wire (pts (xy 223.52 118.11) (xy 232.41 118.11)) - (stroke (width 0) (type default)) - (uuid 8f3833c8-67f8-4eb6-9272-f423078945b2) - ) - (wire (pts (xy 190.5 123.19) (xy 203.2 123.19)) - (stroke (width 0) (type default)) - (uuid 8f9bdb5f-10fe-4813-a4d3-304224967500) - ) - (wire (pts (xy 95.25 118.11) (xy 96.52 118.11)) - (stroke (width 0) (type default)) - (uuid 8fa76c84-9c0b-43d0-8ad1-9c2d81ba5e74) - ) - (bus (pts (xy 234.95 118.11) (xy 234.95 120.65)) - (stroke (width 0) (type default)) - (uuid 8fbc50d2-b48b-4f12-8689-a41dbc21193c) - ) - - (wire (pts (xy 78.74 91.44) (xy 78.74 102.87)) - (stroke (width 0) (type default)) - (uuid 92b61358-1d57-49c0-bafc-cf71a20ae439) - ) - (bus (pts (xy 91.44 66.04) (xy 91.44 68.58)) - (stroke (width 0) (type default)) - (uuid 940561e2-262a-447e-875b-91fabe875428) - ) - - (wire (pts (xy 190.5 115.57) (xy 203.2 115.57)) - (stroke (width 0) (type default)) - (uuid 97d9b09b-bbf7-4109-9cb3-8ef754e218e3) - ) - (bus (pts (xy 110.49 116.84) (xy 110.49 119.38)) - (stroke (width 0) (type default)) - (uuid 983127c3-51ea-43be-a6b6-e77e1d5df1b6) - ) - - (wire (pts (xy 226.06 45.72) (xy 232.41 45.72)) - (stroke (width 0) (type default)) - (uuid 984ed4cc-7113-4889-8e18-5e3787694094) - ) - (bus (pts (xy 110.49 160.02) (xy 60.96 160.02)) - (stroke (width 0) (type default)) - (uuid 98a8ee17-1154-4ccf-a880-6fc35ef389ec) - ) - - (wire (pts (xy 142.24 119.38) (xy 184.15 119.38)) - (stroke (width 0) (type default)) - (uuid 9b68c4eb-3fe7-48dc-98de-ff7cb38f279f) - ) - (wire (pts (xy 113.03 124.46) (xy 121.92 124.46)) - (stroke (width 0) (type default)) - (uuid 9c45b6ba-db37-4332-9577-849486c5297c) - ) - (bus (pts (xy 187.96 123.19) (xy 187.96 125.73)) - (stroke (width 0) (type default)) - (uuid 9e5b6e8c-babc-4783-9d93-72b745a65710) - ) - (bus (pts (xy 234.95 107.95) (xy 234.95 110.49)) - (stroke (width 0) (type default)) - (uuid a1362e0f-afb1-445b-b742-84bcf84bf919) - ) - - (wire (pts (xy 190.5 40.64) (xy 200.66 40.64)) - (stroke (width 0) (type default)) - (uuid a2011262-fa15-4910-8d20-586fb1b88156) - ) - (bus (pts (xy 91.44 48.26) (xy 91.44 50.8)) - (stroke (width 0) (type default)) - (uuid a32aaf0d-641a-4aae-96b1-501f71d2c729) - ) - (bus (pts (xy 91.44 68.58) (xy 91.44 71.12)) - (stroke (width 0) (type default)) - (uuid a6ca2bed-35b6-4b97-a38b-dcac2d60a6bf) - ) - - (wire (pts (xy 190.5 58.42) (xy 200.66 58.42)) - (stroke (width 0) (type default)) - (uuid a81c72e6-6e51-46c4-901e-861fa4359ae9) - ) - (wire (pts (xy 190.5 102.87) (xy 203.2 102.87)) - (stroke (width 0) (type default)) - (uuid a8c12e38-9f0b-4bc5-aa42-108daf272008) - ) - (wire (pts (xy 226.06 58.42) (xy 232.41 58.42)) - (stroke (width 0) (type default)) - (uuid a8c98b57-8fcd-48f8-a6d1-d68bd19284a9) - ) - (bus (pts (xy 187.96 43.18) (xy 187.96 45.72)) - (stroke (width 0) (type default)) - (uuid ab92a60b-73b5-4632-97b3-fc6981f35a98) - ) - - (wire (pts (xy 226.06 48.26) (xy 232.41 48.26)) - (stroke (width 0) (type default)) - (uuid ac51ac67-bede-4676-aa70-d2fdb468a1f8) - ) - (wire (pts (xy 190.5 113.03) (xy 203.2 113.03)) - (stroke (width 0) (type default)) - (uuid ae99e2e8-3e26-4104-b0a7-1304864c7728) - ) - (wire (pts (xy 156.21 146.05) (xy 203.2 146.05)) - (stroke (width 0) (type default)) - (uuid b1014e0d-c051-44d6-b948-2108e93cdfc8) - ) - (bus (pts (xy 91.44 25.4) (xy 234.95 25.4)) - (stroke (width 0) (type default)) - (uuid b1390ffd-733c-49df-9bc9-d25ce2475eed) - ) - (bus (pts (xy 91.44 50.8) (xy 91.44 53.34)) - (stroke (width 0) (type default)) - (uuid b2ee9b9f-1ad0-4758-afca-c6edae5ab4e1) - ) - - (wire (pts (xy 223.52 113.03) (xy 232.41 113.03)) - (stroke (width 0) (type default)) - (uuid b4389cd4-a5e5-41dd-b101-353c3c1269d2) - ) - (bus (pts (xy 91.44 55.88) (xy 91.44 58.42)) - (stroke (width 0) (type default)) - (uuid b456d296-0cd8-497f-a48f-d17de8b852ae) - ) - - (wire (pts (xy 105.41 148.59) (xy 105.41 153.67)) - (stroke (width 0) (type default)) - (uuid b7b171c8-a577-4d87-aa34-fa2a4bb8ef6b) - ) - (wire (pts (xy 184.15 87.63) (xy 229.87 87.63)) - (stroke (width 0) (type default)) - (uuid baa3b313-354b-4f83-9951-4848dbd90663) - ) - (wire (pts (xy 190.5 105.41) (xy 203.2 105.41)) - (stroke (width 0) (type default)) - (uuid bb728836-0082-4b59-9b6b-671b6cdaec93) - ) - (bus (pts (xy 91.44 73.66) (xy 91.44 76.2)) - (stroke (width 0) (type default)) - (uuid c10a8ab5-af71-4823-aca3-87ff2de3bb52) - ) - (bus (pts (xy 187.96 50.8) (xy 187.96 53.34)) - (stroke (width 0) (type default)) - (uuid c11d46d4-b55a-4319-94b7-f907fae45d24) - ) - - (wire (pts (xy 196.85 135.89) (xy 196.85 83.82)) - (stroke (width 0) (type default)) - (uuid c3311ec6-0fd5-4672-aead-74c92d967c6b) - ) - (wire (pts (xy 142.24 127) (xy 142.24 129.54)) - (stroke (width 0) (type default)) - (uuid c4e3ca00-2667-4ec4-b927-dc3348d75d05) - ) - (wire (pts (xy 226.06 55.88) (xy 232.41 55.88)) - (stroke (width 0) (type default)) - (uuid c588bb55-99b3-4469-b559-4e139cbe5fd6) - ) - (wire (pts (xy 142.24 129.54) (xy 142.24 132.08)) - (stroke (width 0) (type default)) - (uuid c71a235e-5a6f-461e-9993-745f8ff4bb63) - ) - (bus (pts (xy 234.95 43.18) (xy 234.95 45.72)) - (stroke (width 0) (type default)) - (uuid c7d10e6a-dfc6-4c48-b6fc-e7a5e52c3d14) - ) - - (wire (pts (xy 82.55 58.42) (xy 88.9 58.42)) - (stroke (width 0) (type default)) - (uuid cc883239-8415-4e2c-9c7b-b94b521929e2) - ) - (bus (pts (xy 187.96 71.12) (xy 187.96 97.79)) - (stroke (width 0) (type default)) - (uuid ce7ddd0f-f69a-4fd5-82c5-fb1e78213eb0) - ) - - (wire (pts (xy 190.5 60.96) (xy 200.66 60.96)) - (stroke (width 0) (type default)) - (uuid cfbdb743-5e27-42e5-b373-b9b3a25e6832) - ) - (bus (pts (xy 187.96 128.27) (xy 187.96 130.81)) - (stroke (width 0) (type default)) - (uuid d0c3435f-f9ce-4268-b9bb-1e995ab1c636) - ) - - (wire (pts (xy 93.98 88.9) (xy 104.14 88.9)) - (stroke (width 0) (type default)) - (uuid d1678372-9dd3-4d53-9070-4d560a10c8df) - ) - (wire (pts (xy 148.59 29.21) (xy 57.15 29.21)) - (stroke (width 0) (type default)) - (uuid d36acd38-19ca-4303-8f4c-cdadacfa188e) - ) - (wire (pts (xy 142.24 124.46) (xy 148.59 124.46)) - (stroke (width 0) (type default)) - (uuid d3bbdcce-0859-41b4-a43d-41f00874e2ae) - ) - (bus (pts (xy 234.95 25.4) (xy 234.95 43.18)) - (stroke (width 0) (type default)) - (uuid d6e53dd6-6335-4826-95ed-566e76cef3a6) - ) - - (wire (pts (xy 223.52 110.49) (xy 232.41 110.49)) - (stroke (width 0) (type default)) - (uuid d7415c6c-713f-4d3c-aae2-c5bf041a7177) - ) - (wire (pts (xy 68.58 133.35) (xy 68.58 153.67)) - (stroke (width 0) (type default)) - (uuid d7dcf848-deb5-4364-877c-0d97df0d2454) - ) - (wire (pts (xy 226.06 53.34) (xy 232.41 53.34)) - (stroke (width 0) (type default)) - (uuid d8c1f5b8-a7d5-4ce6-b66c-2310f6efe614) - ) - (wire (pts (xy 190.5 71.12) (xy 200.66 71.12)) - (stroke (width 0) (type default)) - (uuid d922f200-9eb6-43a5-91bb-ff802dbc00e8) - ) - (wire (pts (xy 142.24 127) (xy 151.13 127)) - (stroke (width 0) (type default)) - (uuid d9397ac9-007f-4a4f-9b60-f1df6fa185b4) - ) - (wire (pts (xy 93.98 66.04) (xy 104.14 66.04)) - (stroke (width 0) (type default)) - (uuid d9cbf703-e994-465d-933a-e3fefb2b280d) - ) - (wire (pts (xy 68.58 153.67) (xy 105.41 153.67)) - (stroke (width 0) (type default)) - (uuid dac38fea-f81b-4f44-8ba8-59607a7be1a0) - ) - (wire (pts (xy 54.61 31.75) (xy 54.61 48.26)) - (stroke (width 0) (type default)) - (uuid db0ac127-b74d-4897-afff-e8e7a6e9c053) - ) - (bus (pts (xy 91.44 78.74) (xy 91.44 81.28)) - (stroke (width 0) (type default)) - (uuid dc72941b-b8bd-4790-ada3-61f40a4ec67c) - ) - - (wire (pts (xy 74.93 148.59) (xy 90.17 148.59)) - (stroke (width 0) (type default)) - (uuid df3baada-10bb-4c1d-9642-43dfc807cc31) - ) - (bus (pts (xy 60.96 115.57) (xy 60.96 118.11)) - (stroke (width 0) (type default)) - (uuid dfe544e2-1e81-4c54-be94-5a8c25caef8a) - ) - (bus (pts (xy 187.96 97.79) (xy 187.96 100.33)) - (stroke (width 0) (type default)) - (uuid e2b70e48-94e2-4b5b-b54f-8ee3b355f007) - ) - - (wire (pts (xy 184.15 87.63) (xy 184.15 119.38)) - (stroke (width 0) (type default)) - (uuid e37dccb0-cfdd-443a-b2b8-45f561486b4d) - ) - (wire (pts (xy 190.5 133.35) (xy 203.2 133.35)) - (stroke (width 0) (type default)) - (uuid e3a24a25-2c1e-4b11-b2f4-09d33b64ae61) - ) - (wire (pts (xy 68.58 128.27) (xy 68.58 133.35)) - (stroke (width 0) (type default)) - (uuid e4836a3d-4c7e-45dc-8eac-90f2218de90c) - ) - (wire (pts (xy 190.5 110.49) (xy 203.2 110.49)) - (stroke (width 0) (type default)) - (uuid e637179e-2597-4383-82f4-153818e1a3b1) - ) - (wire (pts (xy 229.87 63.5) (xy 229.87 87.63)) - (stroke (width 0) (type default)) - (uuid e67533c5-8efa-4eab-998f-e86019134258) - ) - (bus (pts (xy 187.96 107.95) (xy 187.96 110.49)) - (stroke (width 0) (type default)) - (uuid e760e821-9bee-4d29-8bd8-e8184a30801d) - ) - - (wire (pts (xy 142.24 119.38) (xy 142.24 121.92)) - (stroke (width 0) (type default)) - (uuid e977f352-d84a-47f5-b1b9-00f6c77a9060) - ) - (bus (pts (xy 234.95 60.96) (xy 234.95 102.87)) - (stroke (width 0) (type default)) - (uuid e980d811-f8c1-4b58-bb5c-76251e6f8780) - ) - - (wire (pts (xy 93.98 78.74) (xy 104.14 78.74)) - (stroke (width 0) (type default)) - (uuid ebbcca0e-570e-47e0-b648-d19624c6a2e6) - ) - (wire (pts (xy 68.58 128.27) (xy 74.93 128.27)) - (stroke (width 0) (type default)) - (uuid ec6a1df1-c60e-46d6-a091-0477efc2fedd) - ) - (bus (pts (xy 187.96 58.42) (xy 187.96 60.96)) - (stroke (width 0) (type default)) - (uuid ed27daad-1cdf-48a8-88fd-a29cd6405bd0) - ) - (bus (pts (xy 91.44 60.96) (xy 91.44 63.5)) - (stroke (width 0) (type default)) - (uuid edea382f-03e3-492a-a7a9-eaa776a32bf6) - ) - - (wire (pts (xy 147.32 30.48) (xy 55.88 30.48)) - (stroke (width 0) (type default)) - (uuid ee3962e6-b320-4f6e-b8be-ed99cd9d291a) - ) - (bus (pts (xy 91.44 63.5) (xy 91.44 66.04)) - (stroke (width 0) (type default)) - (uuid f1d04018-9691-4bcb-8499-0674e7d9460f) - ) - - (wire (pts (xy 223.52 100.33) (xy 232.41 100.33)) - (stroke (width 0) (type default)) - (uuid f24d2916-ef34-444b-a9aa-1d96fe1ff2d7) - ) - (wire (pts (xy 200.66 78.74) (xy 200.66 76.2)) - (stroke (width 0) (type default)) - (uuid f253c8b2-6130-4c98-8f24-de18c6199961) - ) - (wire (pts (xy 148.59 83.82) (xy 196.85 83.82)) - (stroke (width 0) (type default)) - (uuid f29001ef-296f-4c63-bc2d-eb7faaa8d156) - ) - (wire (pts (xy 142.24 132.08) (xy 142.24 134.62)) - (stroke (width 0) (type default)) - (uuid f334ba3d-9099-4cfa-bf9a-ca3fa87f2c4b) - ) - (wire (pts (xy 74.93 135.89) (xy 74.93 148.59)) - (stroke (width 0) (type default)) - (uuid f839eaad-fb20-4bcb-8de3-42385fb58f5c) - ) - (wire (pts (xy 129.54 83.82) (xy 148.59 83.82)) - (stroke (width 0) (type default)) - (uuid f8c4dc3d-bec5-45fb-9d91-eda7a62009c4) - ) - (wire (pts (xy 93.98 76.2) (xy 104.14 76.2)) - (stroke (width 0) (type default)) - (uuid f9e016d8-90d4-4005-b4e0-5fde8020363c) - ) - (bus (pts (xy 110.49 119.38) (xy 110.49 121.92)) - (stroke (width 0) (type default)) - (uuid fb893732-0316-4de7-bc83-d9e3bb5cf97a) - ) - (bus (pts (xy 60.96 120.65) (xy 60.96 160.02)) - (stroke (width 0) (type default)) - (uuid fba36b7e-4d4b-4048-a946-2c201492c58f) - ) - - (wire (pts (xy 129.54 81.28) (xy 147.32 81.28)) - (stroke (width 0) (type default)) - (uuid fdf3d32f-a3d1-447a-a2b8-e81b46144fbf) - ) - (bus (pts (xy 187.96 130.81) (xy 187.96 160.02)) - (stroke (width 0) (type default)) - (uuid fe6696eb-fd61-407c-a68c-008a6fc4cd88) - ) - (bus (pts (xy 234.95 58.42) (xy 234.95 60.96)) - (stroke (width 0) (type default)) - (uuid ff770bbb-6517-4bdf-9ae2-86b1e236309d) - ) - - (text "Memory Control Register\n|ROM Page MSB|ROM Page LSB|RAM Page|32k VRAM Page|Video Mode|0|0|0|" - (at 104.14 41.91 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3ad6408b-859d-4d1e-b5f5-e131fce22c02) - ) - - (label "D3" (at 232.41 48.26 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 00aa8b3f-f321-4db2-8aa0-ca3103228797) - ) - (label "A9" (at 63.5 118.11 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 0d8b999a-1f35-4be4-bc01-bfb75eeffdac) - ) - (label "A1" (at 190.5 43.18 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 16d94ba6-d878-43c6-96d6-6c11833cc5fa) - ) - (label "A14" (at 113.03 121.92 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 1bd461e9-e2fd-4007-a74f-4c09939d5588) - ) - (label "A11" (at 63.5 123.19 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 22ff7133-c2e3-476a-b4fd-827541f76697) - ) - (label "D4" (at 93.98 76.2 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 23a18dbf-7db3-4bb6-80e3-c63667783ef7) - ) - (label "A12" (at 190.5 130.81 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 26c52808-fcdb-4cd8-aa78-defe3c6ed015) - ) - (label "A9" (at 190.5 63.5 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 28d99dda-923d-4997-879c-76a5a51c84a5) - ) - (label "A9" (at 190.5 123.19 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3697e621-e56b-41e3-923a-61b5be1af6f0) - ) - (label "D2" (at 229.87 105.41 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3b187d72-22bb-4815-89b2-039fe78a5539) - ) - (label "D0" (at 88.9 60.96 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 3e798575-d005-4eff-9cd5-019b1a6e2fe8) - ) - (label "A10" (at 63.5 120.65 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 458eaa06-83eb-4871-9311-61ff73d0c4b8) - ) - (label "D7" (at 88.9 43.18 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 4ad65372-ad8b-4c78-93f2-d5aa74713110) - ) - (label "A5" (at 190.5 53.34 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 56c0bdc4-88df-438e-9a28-c173a25c08ef) - ) - (label "D6" (at 88.9 45.72 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 5eea5a01-59f9-4797-890e-abd10e9cd50f) - ) - (label "D5" (at 229.87 113.03 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 5fb4c8cf-e9bc-4ac5-8ca8-f279caeb7500) - ) - (label "A13" (at 190.5 73.66 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 61950bfb-6ca6-4f86-accc-f51b9b2c5960) - ) - (label "D5" (at 88.9 48.26 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 625f6681-428d-4da0-bf2e-11575ffc5e0f) - ) - (label "A6" (at 190.5 55.88 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 644666e2-0293-4e07-8f62-34f5e9f5eddd) - ) - (label "D1" (at 229.87 102.87 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 6f9cd56c-ff7b-427b-8b1d-6030f4f27139) - ) - (label "A3" (at 190.5 48.26 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7354aff4-f577-47aa-b033-95501307e010) - ) - (label "A8" (at 190.5 120.65 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7a36d48e-4708-451e-8422-9473b961d921) - ) - (label "A7" (at 190.5 58.42 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7a95df10-0cf0-42dd-9e74-962c581d008b) - ) - (label "D0" (at 93.98 66.04 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7c92a9bc-853e-4a3c-8ce5-31c552fb2947) - ) - (label "A11" (at 190.5 128.27 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7d101c93-ecaa-40ae-983d-fda4a9257baa) - ) - (label "A15" (at 113.03 124.46 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7ffb7926-c193-4a5c-aab3-cb0d60e77ba7) - ) - (label "A6" (at 190.5 115.57 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 81e74c60-96b8-40ff-8764-b3e86996f88d) - ) - (label "D6" (at 229.87 115.57 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 83d56597-13d8-4763-b358-3dab76fee2c1) - ) - (label "D7" (at 229.87 118.11 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 85f492e5-63ae-4303-ab3c-eff7bee34b71) - ) - (label "A2" (at 190.5 45.72 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 88c1cf6e-aa1d-4c0b-922d-2c44ac3676ec) - ) - (label "D4" (at 229.87 110.49 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 8990f98e-e25c-48d8-9970-459ae395c5d8) - ) - (label "D6" (at 93.98 81.28 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 8b84616c-995e-47ed-93e7-223ac2b09839) - ) - (label "A12" (at 190.5 71.12 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 8c1a69b8-446c-45c3-8696-e2c15404f89d) - ) - (label "D6" (at 232.41 55.88 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 96505ca0-202e-4982-acc6-7de5b3d6d7e5) - ) - (label "A13" (at 113.03 119.38 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 9853cdc4-98ab-4d4d-bc11-f7e44db77f0f) - ) - (label "A2" (at 190.5 105.41 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 9c2d9fa0-9e4f-455a-a383-391d21310a25) - ) - (label "A3" (at 190.5 107.95 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 9f967f20-d80b-4a29-8da9-8d195ff52f67) - ) - (label "A10" (at 190.5 125.73 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ac7ee0d6-6368-4582-b0c4-d4cef7ee5754) - ) - (label "A11" (at 190.5 68.58 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid b2c0ad56-8cc0-4c24-be52-65fecafa448d) - ) - (label "D2" (at 88.9 55.88 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid b3c1c47c-8556-4f3b-bc4e-f57ba6067835) - ) - (label "D0" (at 232.41 40.64 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid b5f9b022-9bce-4845-a940-c29efcf569e1) - ) - (label "D3" (at 93.98 73.66 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid b7311ba0-7f3d-4a25-a417-9da9c2c1220e) - ) - (label "D4" (at 232.41 50.8 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid b7666295-b28d-411c-b37a-35df67e92394) - ) - (label "A5" (at 190.5 113.03 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid badde059-278e-4e32-bb6f-61a73a800a2e) - ) - (label "D1" (at 232.41 43.18 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid bd29aa22-494d-47a4-a526-a75c07651cda) - ) - (label "D1" (at 93.98 68.58 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid c5742835-088d-410f-a0af-635739df203d) - ) - (label "D2" (at 93.98 71.12 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid c7b6b1d8-e30a-489b-8822-302be088ab66) - ) - (label "D5" (at 232.41 53.34 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid c7e23642-4bbb-4141-8fbd-f68d32c45f1d) - ) - (label "D1" (at 88.9 58.42 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid c9cbf677-b792-4b9a-90fd-c42c10fb65a8) - ) - (label "D5" (at 93.98 78.74 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid cf48d41f-1546-46f0-881e-16960d07269f) - ) - (label "A13" (at 190.5 133.35 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid d6dc4f8e-6a8b-49f8-a9d6-5112f00ca4a5) - ) - (label "D7" (at 232.41 58.42 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid d8ba7c26-5862-4799-91a2-9dab5bfc1af2) - ) - (label "A1" (at 190.5 102.87 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid db666c25-fce1-4db2-ae56-b549de3c1d2f) - ) - (label "D4" (at 88.9 50.8 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid dd9fa9cb-050b-4e31-96a8-45f3cfc2971f) - ) - (label "A8" (at 190.5 60.96 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid e00b0a99-22be-4055-b63a-3180a03fa95c) - ) - (label "A10" (at 190.5 66.04 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid e2dfb0f3-c686-4c5d-9893-0f61a1903838) - ) - (label "D7" (at 93.98 83.82 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid e7cba64b-894c-484a-bd4c-2d927eeea243) - ) - (label "D3" (at 88.9 53.34 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid e925ee1e-d0ff-4b1e-be33-174bfa7c2fcf) - ) - (label "D0" (at 229.87 100.33 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid e9a89270-3778-4edd-85a9-5a4d69afe83f) - ) - (label "D3" (at 229.87 107.95 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid eb2b2eb9-626f-45d8-94ab-af88ed591b2d) - ) - (label "A4" (at 190.5 50.8 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ed14f127-d810-4621-bfbb-6075a5d7d373) - ) - (label "A4" (at 190.5 110.49 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f3b9b7a8-9efe-4b2e-840d-2779d6b4b8cd) - ) - (label "D2" (at 232.41 45.72 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid f6a13437-b865-4ce0-9242-8981b627dc21) - ) - (label "A0" (at 190.5 100.33 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid fa8f40f2-7a71-45a4-a3ff-3e9c312430dc) - ) - (label "A0" (at 190.5 40.64 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid fd568505-82a6-4405-b2e5-dabee207de15) - ) - - (global_label "~{R}{slash}W" (shape input) (at 78.74 86.36 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 066e4fee-61a2-40b6-b263-3bb5479ddfae) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 71.7029 86.36 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "Video Invert" (shape input) (at 57.15 55.88 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 081fb464-8045-4be0-b440-4945c3f9cfe0) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 42.7953 55.88 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "VRAM Page" (shape input) (at 57.15 50.8 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 0fded4e3-8d51-4fc5-98b4-1380e0b6e0ea) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 43.642 50.8 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "D[0..7]" (shape bidirectional) (at 91.44 25.4 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 12540bfb-1f5e-41d8-9a01-8c87c471bf01) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 80.7515 25.4 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "Video Invert" (shape output) (at 129.54 71.12 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 22953a7d-c73a-40c8-9a70-b146f54b8309) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 143.8947 71.12 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "Charset 0" (shape output) (at 129.54 66.04 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 406ab418-459b-4c85-938b-2a1c7755c01e) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 141.8989 66.04 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "~{CRTC}" (shape output) (at 95.25 120.65 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 4266b3a4-2754-43ab-b9a2-ea8fe08775a5) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 103.0128 120.65 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "VRAM Page" (shape output) (at 129.54 76.2 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 61b0baf5-b502-4a4e-be71-aa165dfceb54) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 143.048 76.2 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "Video Mode" (shape output) (at 129.54 73.66 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 7bc040a2-0294-4654-b394-f03b693c39d3) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 143.4108 73.66 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "Charset 0" (shape input) (at 57.15 60.96 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 85542452-d616-4283-b01f-45f9cebfcf87) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 44.7911 60.96 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "~{R}{slash}W" (shape input) (at 82.55 35.56 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 92efc574-be1c-468c-b27f-f248e3bc12f9) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 89.5871 35.56 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "A[0..15]" (shape input) (at 60.96 160.02 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid a606e75c-dee6-4c0c-b705-88002af8d6a1) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 50.3547 160.02 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "R{slash}~{W}" (shape input) (at 226.06 71.12 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid abfcbfe2-945d-4bac-a716-03a6098bc1f8) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 233.0971 71.12 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "Charset 1" (shape output) (at 129.54 68.58 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid be404163-1942-4a7e-93c9-14f79218c193) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 141.8989 68.58 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "Charset 1" (shape input) (at 57.15 58.42 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid c24fd74a-1889-4aa7-be77-ef36917500a1) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 44.7911 58.42 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "Video Mode" (shape input) (at 57.15 53.34 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid d77267b7-b18e-4125-937a-0ee52a0ead52) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 43.2792 53.34 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "~{VRAM}" (shape output) (at 151.13 127 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid dcc52a27-f23f-4b01-b005-da1d3e00d505) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 159.0138 127 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - - (symbol (lib_id "74xx:74HC137") (at 132.08 129.54 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 03b95b43-3a4f-4edd-a202-664760106068) - (property "Reference" "U18" (at 134.2741 112.395 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "74HC137" (at 134.2741 114.935 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 132.08 129.54 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/ds/symlink/cd74hc237.pdf" (at 132.08 129.54 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 3c1fb608-8ae6-4a53-9174-6a9a7ea441d3)) - (pin "10" (uuid d2dd1665-15d0-4bc8-8753-a4e3ad547798)) - (pin "11" (uuid 7db51af5-848d-4097-a996-9b33403715cb)) - (pin "12" (uuid 0432d231-dde7-4829-a89c-51d46d05ce93)) - (pin "13" (uuid 53aef231-70d5-4a46-85a2-e098edc83cd6)) - (pin "14" (uuid 958fdc87-ede4-4ee7-82b1-4c182407008a)) - (pin "15" (uuid 3eaa8a7e-8fc1-475f-9919-f88e8c5ffc39)) - (pin "16" (uuid 9a58b7b0-0660-46b7-894f-e27d3485b4fa)) - (pin "2" (uuid 5c151fe8-b012-4bec-9d65-62bd54b63b47)) - (pin "3" (uuid 358de942-998b-4bc5-b3db-5a4b7a88b5f2)) - (pin "4" (uuid 41164bac-9d49-44a4-97d5-bb03178b81a8)) - (pin "5" (uuid ce5e882b-ce68-427e-9335-4aac5274f343)) - (pin "6" (uuid fde02da6-d4a8-445c-a12c-f083c7f8f933)) - (pin "7" (uuid 81bf1e59-3aec-409b-880c-4d1d06e93c60)) - (pin "8" (uuid 6c4ed6dd-ce18-46a1-a31c-232c844067e7)) - (pin "9" (uuid e5e179f1-dd41-4da0-8852-50671e32e080)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "U18") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 213.36 95.25 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 0f32ddb3-0a05-42d3-b37d-1c600efd5f6d) - (property "Reference" "#PWR019" (at 213.36 99.06 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 213.36 90.17 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 213.36 95.25 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 213.36 95.25 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 72bda2dd-1440-42cf-aaaa-ad3698d3824e)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR019") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC14") (at 88.9 102.87 180) (unit 2) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 1dbd01c2-11e5-4bc2-ab1e-2de00ea51da7) - (property "Reference" "U6" (at 88.9 93.98 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC14" (at 88.9 96.52 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 88.9 102.87 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" (at 88.9 102.87 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 75acbdf2-cf5e-44ea-8bda-a67165849b61)) - (pin "2" (uuid 7275cf33-e990-4002-a604-53d4e3f6dd0b)) - (pin "3" (uuid 15c3f53f-de8b-4325-8060-ae9ebb7b2c42)) - (pin "4" (uuid fd0be567-97d0-4e9b-abbb-cfa8a4537c7d)) - (pin "5" (uuid 63676233-5d74-4fa9-89bd-2e68c34d290f)) - (pin "6" (uuid 4725136d-3156-4d6f-ab6c-82ba8cf90f0e)) - (pin "8" (uuid 04ff94ae-7d72-4092-bf68-6cde2b8cdb81)) - (pin "9" (uuid ebc3e6e8-fae9-4d1c-97b4-c661420315e0)) - (pin "10" (uuid 781c61bc-f386-4259-aaaa-a2058e47aa3c)) - (pin "11" (uuid 88b73604-3655-4081-921a-c254298b0541)) - (pin "12" (uuid 0d590db9-9783-411e-b864-363d02c6674c)) - (pin "13" (uuid 0d02281c-ced5-4fb5-a39b-be06bf6bcffb)) - (pin "14" (uuid ca3f48d3-1246-4cb9-ab46-62026be1df7a)) - (pin "7" (uuid c88fff89-315b-492e-b8f6-8e44d5c4d0b9)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "U6") (unit 2) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 213.36 35.56 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 201b8d2f-049b-40cf-b311-7abaa28059ea) - (property "Reference" "#PWR031" (at 213.36 39.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 213.36 30.48 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 213.36 35.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 213.36 35.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid a45bac36-d777-44c8-a895-bbb514737689)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR031") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC14") (at 97.79 148.59 180) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 24949c95-0805-4ca5-be2a-006b39ff9351) - (property "Reference" "U6" (at 97.79 140.335 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC14" (at 97.79 142.875 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 97.79 148.59 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" (at 97.79 148.59 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid a6b06b40-7d44-47fd-b9b0-506101f28714)) - (pin "2" (uuid 08bf1bba-0a84-4e98-add2-ea2bb0e1371a)) - (pin "3" (uuid ba9805f2-ed3b-4e2b-8096-0e0f945483cb)) - (pin "4" (uuid 1c3f5a85-7655-467d-9210-e39aede31a0a)) - (pin "5" (uuid 73c9b852-8307-44c3-9b4a-30fd026ed0b0)) - (pin "6" (uuid ad595d8c-9ab6-471b-8e9a-121738ba3f8f)) - (pin "8" (uuid 66835d62-c883-4c70-8000-2f4f67c02eb6)) - (pin "9" (uuid 9111867a-b118-44d3-96f1-d56244ddc361)) - (pin "10" (uuid e54857f4-fc1e-4ed7-bde0-e832d2bfaa41)) - (pin "11" (uuid 1c1f2396-cb70-433c-86d0-0cc74ca1d0c2)) - (pin "12" (uuid 2092a03d-f21f-49cf-9e76-814a3415fd39)) - (pin "13" (uuid 5dda2585-b555-498d-be8b-20fa47817be8)) - (pin "14" (uuid faf0b7f7-9756-4c75-8693-f867ede007da)) - (pin "7" (uuid 1af3486c-353e-42a4-9d46-78093dbdb959)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/8fb99111-08f0-4698-8086-cb89d138e39a" - (reference "U6") (unit 1) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U6") (unit 3) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "U6") (unit 3) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 104.14 91.44 270) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 3558a318-5dd2-4ffd-a718-83fd6bb4730e) - (property "Reference" "#PWR041" (at 97.79 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 100.33 91.44 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (at 104.14 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 104.14 91.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid a890e4f5-dc72-440d-adf9-b186dbd3d025)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR041") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 213.36 81.28 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 4abae9d7-5f8a-4d32-a59f-8a5ca9c501b0) - (property "Reference" "#PWR011" (at 213.36 87.63 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 213.36 86.36 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 213.36 81.28 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 213.36 81.28 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 910ac5b6-191c-4dc0-a26d-743ad62b7759)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR011") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 116.84 99.06 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 54190f86-7649-4692-a9ce-5e9dcd7205a5) - (property "Reference" "#PWR017" (at 116.84 105.41 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 116.84 104.14 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 116.84 99.06 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 116.84 99.06 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid d72ce0a2-da1f-4cd4-8770-db4165b722c3)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR017") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 226.06 68.58 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 5961a78b-4e5d-472c-93b1-026eb4d0c184) - (property "Reference" "#PWR032" (at 232.41 68.58 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 229.87 68.58 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (at 226.06 68.58 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 226.06 68.58 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid db3018e8-0e1e-42cc-8ee2-c163a17a0e6e)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR032") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 195.58 140.97 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 5bda897e-98dd-4e5e-8d00-5b3e7721bdc4) - (property "Reference" "#PWR027" (at 199.39 140.97 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 191.77 140.97 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 195.58 140.97 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 195.58 140.97 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f9c6933f-b818-4406-a46e-44157cd3b702)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR027") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 82.55 38.1 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 670f50ed-5fee-44ae-bcda-32a74f2d6af7) - (property "Reference" "#PWR052" (at 88.9 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 86.36 38.1 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (at 82.55 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 82.55 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 2602ad81-808d-4ed6-a06b-ec61bd2cfdea)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR052") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC245") (at 69.85 48.26 180) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 73695450-6466-4987-a226-eb154b07c71b) - (property "Reference" "U23" (at 70.1959 27.94 0) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Value" "74HC245" (at 70.1959 30.48 0) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (at 69.85 48.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" (at 69.85 48.26 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 64491ca0-422b-48da-acfd-4c16c11221d7)) - (pin "10" (uuid 6d431edd-24f5-4a82-96ad-865a5aaa6b9e)) - (pin "11" (uuid 8d8f6992-dfb2-4165-bfdb-22067ca3111a)) - (pin "12" (uuid dfb42577-7d31-4585-8632-dcfb9059b574)) - (pin "13" (uuid 1c02684e-51a3-4c0e-a02f-1f356c8f37d8)) - (pin "14" (uuid 251b29a0-4c42-4a16-838c-3214c0672451)) - (pin "15" (uuid fc9ae75e-2609-40a6-b2cf-8a6e68916f26)) - (pin "16" (uuid bcffeb8d-b6ed-4a78-a8dd-37e8431fb3ae)) - (pin "17" (uuid 36ad64c1-7546-4c6d-be0b-ad7e4a709e29)) - (pin "18" (uuid 4b6b67b4-ff59-4aca-87d1-679535298f50)) - (pin "19" (uuid 728c0935-c45e-4a17-9112-71334dbae9bb)) - (pin "2" (uuid c818273d-71c5-4e53-a522-f96fef3c5834)) - (pin "20" (uuid 2eab53e4-1280-4e5b-b028-262c6ae72736)) - (pin "3" (uuid a2032a62-7d66-49ec-8d00-c019b2016ceb)) - (pin "4" (uuid 45b4e08d-d417-44b9-91bb-fdba75589989)) - (pin "5" (uuid 2567ddac-1ef0-4489-ae22-8c95d90683d3)) - (pin "6" (uuid 9ab565b9-e254-4fd8-820e-1d5ba585bacb)) - (pin "7" (uuid 548994d1-d4cd-4fbc-81f9-8819ab09d25e)) - (pin "8" (uuid c3e9d8d7-fef4-4ebc-aa29-d77ef24e5891)) - (pin "9" (uuid eda82a0e-bcd2-435e-9c70-614b62828c38)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "U23") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 132.08 114.3 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 7e64aebd-24c4-4ff3-bbcd-7fb1b8983d0f) - (property "Reference" "#PWR034" (at 132.08 118.11 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 132.08 109.855 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 132.08 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 132.08 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 9fa600e4-aaaf-4d9f-9769-43bb06e63eb2)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR034") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 203.2 143.51 270) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 826848b4-6114-4eb7-bbdb-24af57c96171) - (property "Reference" "#PWR033" (at 196.85 143.51 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 199.39 143.51 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (at 203.2 143.51 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 203.2 143.51 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 7631eead-5216-4edb-b170-ad4a6c2f9da8)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR033") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "Memory_RAM:HM62256BLP") (at 213.36 58.42 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 8814e372-948a-448b-9c67-50b6d427c709) - (property "Reference" "U8" (at 215.5541 33.02 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "HM62256BLP" (at 215.5541 35.56 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-28_W15.24mm" (at 213.36 60.96 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://web.mit.edu/6.115/www/document/62256.pdf" (at 213.36 60.96 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "14" (uuid 08e5782b-e6ce-4d6a-93f6-445369aed49e)) - (pin "28" (uuid 364a4ace-c37e-4549-be24-cb67da3322f5)) - (pin "1" (uuid 845559c6-4ef6-4be6-b105-e12b93efeb06)) - (pin "10" (uuid 5237abf8-e882-4fbb-b600-ff2bd8113035)) - (pin "11" (uuid 8c029d9d-3989-4272-9351-aa6348c9c682)) - (pin "12" (uuid b04f6d62-c7e6-4eb4-842d-afcf89b74c59)) - (pin "13" (uuid d8c004bd-fe20-40ff-8337-b3ab4232e21c)) - (pin "15" (uuid f48517b6-b152-419b-b4a7-64d86eea1ed9)) - (pin "16" (uuid 38525787-91c2-48e6-9f3a-f5ef55e1eef0)) - (pin "17" (uuid df85e007-6024-416d-9b1c-bb353838385c)) - (pin "18" (uuid 686cd5a3-970b-4c0d-b2a3-2743e0515f00)) - (pin "19" (uuid 08fa9596-b0be-4caf-a643-818373f2aa81)) - (pin "2" (uuid c5affe70-0ece-4eb6-92ca-3c4a5642e5de)) - (pin "20" (uuid 9cac4f2e-6f81-49c6-a655-ecf29eaa1145)) - (pin "21" (uuid 4975fb0b-43f0-435b-ab86-ca3503bcfeda)) - (pin "22" (uuid 79acad06-3989-4a0b-8461-e6d40c57cd65)) - (pin "23" (uuid e292e736-aef1-47a9-b6b0-10b74a785ac3)) - (pin "24" (uuid c43369eb-b4f4-4608-8784-d650741bdb1c)) - (pin "25" (uuid 62b0763d-97a1-4c2f-b734-37f507b759a1)) - (pin "26" (uuid 6af4ff8c-7694-4682-b142-6e03524f6e5c)) - (pin "27" (uuid e91f960c-c1bb-4d76-b4e7-3704f0a5f6dc)) - (pin "3" (uuid ef9840b2-0292-4e9e-b2d1-9e3d93f3b395)) - (pin "4" (uuid 423b62b2-82cf-4d18-9ddf-ef2217971155)) - (pin "5" (uuid 13c88f36-7527-4e20-a198-f37d52ccd185)) - (pin "6" (uuid 8cc062d7-9fef-42a5-89ff-6f73fa2a0bd9)) - (pin "7" (uuid 8abb84ec-50ae-45fc-8b2b-169aa04c5223)) - (pin "8" (uuid 342ce6a8-4872-412e-ab5e-f751d07aec89)) - (pin "9" (uuid 3904c7ba-b4a4-423a-82bc-9391180e00cf)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "U8") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "kitty:R") (at 199.39 140.97 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 95c9bb6c-34d1-4fe5-b3b1-8638c38a14c2) - (property "Reference" "R6" (at 199.39 134.62 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "3.3k" (at 199.39 137.16 90) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 199.39 142.748 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (at 199.39 140.97 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b7788a8c-4b1d-4067-a1eb-970f39dbd721)) - (pin "2" (uuid e928cd66-13d0-4f77-8687-e1d9b1954bbb)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "R6") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 85.09 113.03 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 9bdf4c50-afcc-470e-9c27-d4ce3533e072) - (property "Reference" "#PWR030" (at 85.09 116.84 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 85.09 107.95 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 85.09 113.03 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 85.09 113.03 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 20a78e9e-6d0a-4328-b45f-3241fcdb5832)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR030") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC00") (at 36.83 109.22 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid a6fa0fae-7f2f-47f6-a8a1-769eac476e67) - (property "Reference" "U12" (at 36.8217 100.33 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC00" (at 36.8217 102.87 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 36.83 109.22 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hc00" (at 36.83 109.22 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 8b0e2428-911c-4dc1-8f9e-8c4617b5b81c)) - (pin "2" (uuid 420db78f-2a79-4c27-bc66-90cbbd06de47)) - (pin "3" (uuid 69677288-7bc7-44fa-bfd3-4ac03d952e4e)) - (pin "4" (uuid 02dc0ec0-76f6-434c-8391-670236a422f7)) - (pin "5" (uuid a0593872-2234-4574-b447-d9bc17cc7c4b)) - (pin "6" (uuid 73ebe689-4f51-451d-9940-86beda464f2a)) - (pin "10" (uuid 8b6a1828-194d-48d6-a36c-9761ba196a63)) - (pin "8" (uuid 4d29868f-e906-4881-8cda-180fbcbffcca)) - (pin "9" (uuid 57a6dd88-02a3-4a5f-bcda-4fe0047d13b4)) - (pin "11" (uuid 61581f2f-bfac-44e1-8bcb-0da205f3edb1)) - (pin "12" (uuid 4a55b48f-713f-4be4-9ee4-cc66cd8e51e6)) - (pin "13" (uuid 3fd97c73-efdd-4b78-91dd-7a84df0cc7cf)) - (pin "14" (uuid 39f1d04e-180d-48e4-aa32-4643e2465cc8)) - (pin "7" (uuid c1bdd374-733d-43fd-88ce-464bd59e679e)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/8fb99111-08f0-4698-8086-cb89d138e39a" - (reference "U12") (unit 1) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c" - (reference "U12") (unit 1) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U12") (unit 1) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "U12") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC137") (at 85.09 128.27 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid ad2bcd18-a938-4858-bfe0-9185ed70a0dc) - (property "Reference" "U15" (at 87.2841 110.49 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "74HC137" (at 87.2841 113.03 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 85.09 128.27 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/ds/symlink/cd74hc237.pdf" (at 85.09 128.27 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 1ec24abc-f9f4-4b3a-a31e-6cfcf62ac258)) - (pin "10" (uuid e62db86f-5b83-4e05-a773-3f6aefe5d2a8)) - (pin "11" (uuid 8a0371f3-bb06-49d6-8c7e-780a2d8fafa5)) - (pin "12" (uuid 34775f6f-f845-4061-9196-b7b0a2396850)) - (pin "13" (uuid ef8a8b60-07ef-4112-8979-86c82ae115d5)) - (pin "14" (uuid aaf7d6df-ffbd-4633-8ff4-d2fe2198e67b)) - (pin "15" (uuid 2987f547-a2d0-4878-988a-9c635149133e)) - (pin "16" (uuid feb72ae2-db23-4682-ac49-dd4fe8123d8b)) - (pin "2" (uuid 051cb112-a184-4763-a204-bc4c27ec2731)) - (pin "3" (uuid a64b6359-e70b-4e28-9bf8-77d667124099)) - (pin "4" (uuid bb2b16e0-5577-463c-8b4a-a81b163010a5)) - (pin "5" (uuid fa6ee3e0-be30-4eb9-8139-126b4bdde723)) - (pin "6" (uuid 58ac2635-f2b1-4b59-8efb-846f3faa4dd3)) - (pin "7" (uuid 6b0de4d6-6525-4ead-9930-525145973bfe)) - (pin "8" (uuid 34cbf516-7faf-40c5-905e-16e8725f2c26)) - (pin "9" (uuid 2fb69a94-e261-4c38-a50a-0ad831668c95)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "U15") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 213.36 151.13 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid b39b7d1e-d144-4a36-be7e-6da9f19308dc) - (property "Reference" "#PWR028" (at 213.36 157.48 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 213.36 156.21 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 213.36 151.13 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 213.36 151.13 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f498a80b-a2d5-42c6-837f-43928e0f45ea)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR028") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "Memory_EEPROM:28C256") (at 213.36 123.19 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid c2df1f6e-835e-4ed5-80a6-6a23c453a08c) - (property "Reference" "U7" (at 215.5541 92.71 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "28C256" (at 215.5541 95.25 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-28_W15.24mm" (at 213.36 123.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" (at 213.36 123.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 89c63a74-945a-42f5-8622-740546c11128)) - (pin "10" (uuid 2c6ad453-cfa9-4ea0-91c5-930c35b905e3)) - (pin "11" (uuid 2d1bcb30-ade3-4623-a6a7-ba4e365ca9ff)) - (pin "12" (uuid 6c5fa59e-e43d-44a8-a238-12c87bfceeed)) - (pin "13" (uuid 0e48f4fa-8d02-4215-864a-50e1ee9ff983)) - (pin "14" (uuid ae5e7498-79de-4c71-bc34-ecb1bbf89cba)) - (pin "15" (uuid 7b70f4e3-8307-43ad-a029-0a0b85a8b1ad)) - (pin "16" (uuid 94164e62-7628-43e1-a3fa-b3489f7ec251)) - (pin "17" (uuid 8287f42e-e4aa-4f16-92da-df6b5894419c)) - (pin "18" (uuid 8e360e9c-b11a-4f52-a722-8e94d32a5e07)) - (pin "19" (uuid cf85991e-5aec-49ef-b947-1de7ef9df08d)) - (pin "2" (uuid de228db7-0f5f-4964-9d05-8bca40a20ca5)) - (pin "20" (uuid 35d88e2a-4b92-4aed-97f6-b12012b1633b)) - (pin "21" (uuid 172b658e-e5e4-4643-8dd8-c017bb519cde)) - (pin "22" (uuid 9f19ac7e-a72c-464f-b917-e245923dbf8f)) - (pin "23" (uuid 0a58692e-a5d0-4040-8e62-bc38fff23f28)) - (pin "24" (uuid 63417ff0-0d61-4d46-ab58-4defbb2ed74f)) - (pin "25" (uuid 0a0a6eda-878f-4076-9dee-198b4ab036d6)) - (pin "26" (uuid e449fbf6-709a-4c83-a6d0-ddfaa0068c40)) - (pin "27" (uuid b164a36e-0e4d-4b52-8953-b712e99f8289)) - (pin "28" (uuid 2160eb13-6d81-4c0e-bbd0-7510c110adf7)) - (pin "3" (uuid 8732cc88-8be5-413b-879e-c7b5d7878f16)) - (pin "4" (uuid f85d7224-4ae6-445d-a403-f548bd996012)) - (pin "5" (uuid d5c0136b-5550-4d57-9822-8e0b329963a3)) - (pin "6" (uuid a0e368f6-2927-464f-ba17-06ea264db404)) - (pin "7" (uuid 3b1b7a19-5642-4b73-a67f-239c113ac645)) - (pin "8" (uuid cd179c55-a056-4ab1-b647-864c0df72de7)) - (pin "9" (uuid a9df445b-bce7-4cc0-9d6d-1e63f9e9d422)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "U7") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 132.08 142.24 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid c4da5dd7-a2a8-48b3-8a67-d730a9092948) - (property "Reference" "#PWR020" (at 132.08 148.59 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 132.08 146.685 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 132.08 142.24 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 132.08 142.24 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 0c1a1e07-b541-4709-a9c5-7a0212f26df0)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR020") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC08") (at 85.09 88.9 0) (unit 2) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid cf54c088-f384-41dd-a837-89e287a7e428) - (property "Reference" "U25" (at 86.3517 80.01 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC08" (at 86.3517 82.55 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W10.16mm" (at 85.09 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc08.pdf" (at 85.09 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 927319f6-ff09-40fd-993e-c8dbbae3cd61)) - (pin "2" (uuid 44507f6e-bd4b-497e-ab81-0cfb0ba63787)) - (pin "3" (uuid 3b9ca047-2c50-46a3-8a1d-bfff513b8408)) - (pin "4" (uuid 6c78f307-40fa-408d-aa75-faa9b93ae527)) - (pin "5" (uuid 6838f2ca-7ebe-4d61-bb41-7c45d6f8fa1a)) - (pin "6" (uuid a9b881e2-2941-4658-8b9d-6525b75f78de)) - (pin "10" (uuid 1292056f-2bdb-4b91-9b67-a27c77c2f623)) - (pin "8" (uuid 197c1da0-d387-467d-ad20-0dea4601db80)) - (pin "9" (uuid 93ad73f7-2b3d-47b8-a14b-bacd1387c44d)) - (pin "11" (uuid b8391e15-bad8-40d5-801c-de65e5c7feb0)) - (pin "12" (uuid 5eac8a76-7b38-45e9-8219-ec139684535e)) - (pin "13" (uuid c3c681cb-b3fc-48d9-a176-7bea9a69cd96)) - (pin "14" (uuid 52c351f5-cc8a-4468-bdbd-fc3781c9b1ea)) - (pin "7" (uuid 5f6e1c33-f12e-4609-a405-5d6c2e02edc9)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U25") (unit 2) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "U25") (unit 2) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 85.09 140.97 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid d080b861-8ed4-43a3-89b6-6def55dc919d) - (property "Reference" "#PWR029" (at 85.09 147.32 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 85.09 146.05 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 85.09 140.97 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 85.09 140.97 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 6554f9d6-1ceb-463b-94d6-2ba121e29424)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR029") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 69.85 27.94 180) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid d88d068b-2d6a-4fb7-89c7-44a62651c41a) - (property "Reference" "#PWR053" (at 69.85 21.59 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 69.85 22.86 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 69.85 27.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 69.85 27.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 172c8db3-4800-4650-b934-1eb872b331af)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR053") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC14") (at 35.56 84.455 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid da3514f7-8b34-466c-a275-a8304c63e8aa) - (property "Reference" "U6" (at 35.56 75.565 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC14" (at 35.56 78.105 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 35.56 84.455 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" (at 35.56 84.455 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid d926f70d-16ca-4814-a327-20a874596e1c)) - (pin "2" (uuid 01bc9f9b-3377-4b3f-8f23-43d0119539b1)) - (pin "3" (uuid d1acc8e3-a382-4e94-abc0-c680f1e3fcd3)) - (pin "4" (uuid b59c6af5-f3c9-4332-9c64-b1ea43492dac)) - (pin "5" (uuid f48c6ad4-04e2-4fa8-93f4-84dfabfdf0c6)) - (pin "6" (uuid 7a9cce66-85fe-4aec-a6bc-406add29453f)) - (pin "8" (uuid 21e03810-2b3b-4a72-a37b-4ff89eea9aa2)) - (pin "9" (uuid 4dfe6ab6-b7a1-4b24-a122-9c88f027262e)) - (pin "10" (uuid bac01dc1-830b-45df-b4b7-075b47f4cd89)) - (pin "11" (uuid 9af05e06-f528-4652-8036-6bce41024b93)) - (pin "12" (uuid 67c16c66-85bd-4259-a645-ffdfae54e842)) - (pin "13" (uuid e7e4a306-5442-445e-a121-484c87f38196)) - (pin "14" (uuid 323e8052-cb0a-4bb5-b7d0-4361020b9e74)) - (pin "7" (uuid adc38e59-a19d-44c5-9fa7-f546099ddcae)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/8fb99111-08f0-4698-8086-cb89d138e39a" - (reference "U6") (unit 1) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U14") (unit 6) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c" - (reference "U14") (unit 1) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "U16") (unit 2) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 116.84 58.42 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid e423d7ed-ef47-4cff-b1bf-d01aebb2f40d) - (property "Reference" "#PWR018" (at 116.84 62.23 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 116.84 53.34 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 116.84 58.42 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 116.84 58.42 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 6b00212f-c262-4904-8ea0-63b38f642a69)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR018") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC373") (at 116.84 78.74 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid ef7107ff-1d5a-4688-9dcc-87d0e218e6af) - (property "Reference" "U11" (at 119.0341 58.42 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "74HC373" (at 119.0341 60.96 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 116.84 78.74 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/cd54hc373.pdf" (at 116.84 78.74 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 927dd371-7fac-4777-af59-4248d4428f38)) - (pin "10" (uuid 0d663dae-ffb8-4704-a373-ec8e32f278b0)) - (pin "11" (uuid 5fd8fd33-9c0d-4920-b162-e93d4bc7937d)) - (pin "12" (uuid 5b05f089-4a13-4012-be39-886e8b2e9caa)) - (pin "13" (uuid 214e2fbe-55f5-4f5a-9726-2b3bef9107cd)) - (pin "14" (uuid 0fd7ccba-cc24-4d5b-b0fa-4e7ccbe8bc14)) - (pin "15" (uuid 04b275fd-6ada-4869-b283-e49b95f90fb0)) - (pin "16" (uuid 10b9ef9c-ad7f-4cdd-8393-af92afb73b0b)) - (pin "17" (uuid 79533e06-a12d-4bb2-a479-348f10495472)) - (pin "18" (uuid a04eaf19-675c-449b-ac4c-94171c740518)) - (pin "19" (uuid 094318ca-f62d-4e68-a11c-0f7ba521530b)) - (pin "2" (uuid 41bb89ff-6ba6-45f6-9c8e-b3a1851dd306)) - (pin "20" (uuid 2511eb09-0acd-4a25-8df3-39cb62e759c8)) - (pin "3" (uuid 4d9d7190-965a-4acc-bbb1-cdf91e04d087)) - (pin "4" (uuid 19002341-ba6c-4031-924a-9312cec6d66f)) - (pin "5" (uuid 52ff15a1-a0f6-45d9-81a7-7321430a75ea)) - (pin "6" (uuid 88cbcbab-0fb9-40ba-9739-0dae6aea7ca1)) - (pin "7" (uuid 342c726e-2467-4841-a2ff-04af3f2c07cb)) - (pin "8" (uuid e5d47bdf-e6e2-4fc9-99a3-51927b123fb2)) - (pin "9" (uuid 481dd0f8-1bb3-46ed-b4dd-789cf1671dd8)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "U11") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 69.85 68.58 180) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid f2441809-9327-47fc-a41b-a9dd9de322a7) - (property "Reference" "#PWR054" (at 69.85 64.77 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 69.85 73.66 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 69.85 68.58 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 69.85 68.58 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid ff7b19c3-7627-4578-bd14-7d91fdac5ed6)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "#PWR054") (unit 1) - ) - ) - ) - ) -) +(kicad_sch + (version 20231120) + (generator "eeschema") + (generator_version "8.0") + (uuid "7874126d-114b-47d3-82dd-be34cbc2a9f7") + (paper "A4") + (title_block + (title "ʕ·ᴥ·ʔ-memory") + ) + (lib_symbols + (symbol "74xx:74HC08" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at 0 1.27 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC08" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W10.16mm" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc08.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Quadruple 2-Input Positive-AND Gates" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "AND" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "74HC08_1_1" + (polyline + (pts + (xy 1.27 3.81) (xy -2.54 3.81) (xy -2.54 -3.81) (xy 1.27 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (arc + (start 1.27 -3.81) + (mid 5.0634 0) + (end 1.27 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -6.35 2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -6.35 -2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 8.89 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC08_2_1" + (polyline + (pts + (xy 1.27 3.81) (xy -2.54 3.81) (xy -2.54 -3.81) (xy 1.27 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (arc + (start 1.27 -3.81) + (mid 5.0634 0) + (end 1.27 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -6.35 -2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -6.35 2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 8.89 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC08_3_1" + (polyline + (pts + (xy 1.27 3.81) (xy -2.54 3.81) (xy -2.54 -3.81) (xy 1.27 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (arc + (start 1.27 -3.81) + (mid 5.0634 0) + (end 1.27 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -6.35 2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 8.89 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -6.35 -2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC08_4_1" + (polyline + (pts + (xy 1.27 3.81) (xy -2.54 3.81) (xy -2.54 -3.81) (xy 1.27 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (arc + (start 1.27 -3.81) + (mid 5.0634 0) + (end 1.27 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output line + (at 8.89 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -6.35 2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -6.35 -2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC08_5_0" + (pin power_in line + (at 0 12.7 270) + (length 5.08) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -12.7 90) + (length 5.08) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC08_5_1" + (rectangle + (start -5.08 7.62) + (end 5.08 -7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + ) + (symbol "74xx:74HC138" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -7.62 13.97 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + ) + (property "Value" "74HC138" + (at 2.54 -11.43 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left top) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/cd74hc238.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "3-to-8 line decoder/multiplexer inverting, DIP-16/SOIC-16/SSOP-16" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "demux" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W7.62mm* SOIC*3.9x9.9mm*P1.27mm* SSOP*5.3x6.2mm*P0.65mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "74HC138_0_1" + (rectangle + (start -7.62 12.7) + (end 7.62 -10.16) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "74HC138_1_1" + (pin input line + (at -10.16 10.16 0) + (length 2.54) + (name "A0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 -2.54 180) + (length 2.54) + (name "~{Y5}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 0 180) + (length 2.54) + (name "~{Y4}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 2.54 180) + (length 2.54) + (name "~{Y3}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 5.08 180) + (length 2.54) + (name "~{Y2}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 7.62 180) + (length 2.54) + (name "~{Y1}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 10.16 180) + (length 2.54) + (name "~{Y0}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 15.24 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 7.62 0) + (length 2.54) + (name "A1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 5.08 0) + (length 2.54) + (name "A2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -2.54 0) + (length 2.54) + (name "~{E0}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -5.08 0) + (length 2.54) + (name "~{E1}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -7.62 0) + (length 2.54) + (name "E2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 -7.62 180) + (length 2.54) + (name "~{Y7}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -12.7 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 -5.08 180) + (length 2.54) + (name "~{Y6}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "74xx:74HC14" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at 0 1.27 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC14" + (at 0 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Hex inverter schmitt trigger" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "HCMOS not inverter" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W7.62mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "74HC14_1_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_1_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_2_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_2_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_3_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_3_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_4_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_4_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_5_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_5_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_6_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_6_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_7_0" + (pin power_in line + (at 0 12.7 270) + (length 5.08) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -12.7 90) + (length 5.08) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_7_1" + (rectangle + (start -5.08 7.62) + (end 5.08 -7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + ) + (symbol "74xx:74HC245" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -7.62 16.51 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC245" + (at -7.62 -16.51 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Octal BUS Transceivers, 3-State outputs" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "HCMOS BUS 3State" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP?20*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "74HC245_1_0" + (polyline + (pts + (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.27 -1.27) (xy 0.635 -1.27) (xy 0.635 1.27) (xy 1.27 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (pin input line + (at -12.7 -10.16 0) + (length 5.08) + (name "A->B" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -20.32 90) + (length 5.08) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 -5.08 180) + (length 5.08) + (name "B7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 -2.54 180) + (length 5.08) + (name "B6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 0 180) + (length 5.08) + (name "B5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 2.54 180) + (length 5.08) + (name "B4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 5.08 180) + (length 5.08) + (name "B3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 7.62 180) + (length 5.08) + (name "B2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 10.16 180) + (length 5.08) + (name "B1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 12.7 180) + (length 5.08) + (name "B0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input inverted + (at -12.7 -12.7 0) + (length 5.08) + (name "CE" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 12.7 0) + (length 5.08) + (name "A0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 20.32 270) + (length 5.08) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 10.16 0) + (length 5.08) + (name "A1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 7.62 0) + (length 5.08) + (name "A2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 5.08 0) + (length 5.08) + (name "A3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 2.54 0) + (length 5.08) + (name "A4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 0 0) + (length 5.08) + (name "A5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 -2.54 0) + (length 5.08) + (name "A6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 -5.08 0) + (length 5.08) + (name "A7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC245_1_1" + (rectangle + (start -7.62 15.24) + (end 7.62 -15.24) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + ) + (symbol "74xx:74HC373" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -7.62 16.51 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC373" + (at -7.62 -16.51 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/cd54hc373.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "8-bit Latch, 3-state outputs" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "HCMOS REG DFF DFF8 LATCH" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP?20* SOIC?20* SO?20* SSOP?20* TSSOP?20*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "74HC373_1_0" + (pin input inverted + (at -12.7 -12.7 0) + (length 5.08) + (name "OE" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -20.32 90) + (length 5.08) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -10.16 0) + (length 5.08) + (name "LE" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 2.54 180) + (length 5.08) + (name "O4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 2.54 0) + (length 5.08) + (name "D4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 0 0) + (length 5.08) + (name "D5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 0 180) + (length 5.08) + (name "O5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 -2.54 180) + (length 5.08) + (name "O6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -2.54 0) + (length 5.08) + (name "D6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -5.08 0) + (length 5.08) + (name "D7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 -5.08 180) + (length 5.08) + (name "O7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 12.7 180) + (length 5.08) + (name "O0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 20.32 270) + (length 5.08) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 12.7 0) + (length 5.08) + (name "D0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 10.16 0) + (length 5.08) + (name "D1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 10.16 180) + (length 5.08) + (name "O1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 7.62 180) + (length 5.08) + (name "O2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 7.62 0) + (length 5.08) + (name "D2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 5.08 0) + (length 5.08) + (name "D3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 5.08 180) + (length 5.08) + (name "O3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC373_1_1" + (rectangle + (start -7.62 15.24) + (end 7.62 -15.24) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + ) + (symbol "Device:C" + (pin_numbers hide) + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "C" + (at 0.635 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "C" + (at 0.635 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0.9652 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "cap capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "C_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) (xy 2.032 -0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.032 0.762) (xy 2.032 0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "C_1_1" + (pin passive line + (at 0 3.81 270) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Memory_EEPROM:28C256lcc" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U3" + (at 2.1941 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "28C256" + (at 2.1941 27.94 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_LCC:PLCC-32_THT-Socket" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Paged Parallel EEPROM 256Kb (32K x 8), DIP-28/SOIC-28" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "Parallel EEPROM 256Kb" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W15.24mm* SOIC*7.5x17.9mm*P1.27mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "28C256lcc_1_1" + (rectangle + (start -7.62 25.4) + (end 7.62 -25.4) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -10.16 20.32 0) + (length 2.54) + (name "A1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 22.86 0) + (length 2.54) + (name "A0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 22.86 180) + (length 2.54) + (name "D0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 20.32 180) + (length 2.54) + (name "D1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 17.78 180) + (length 2.54) + (name "D2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -27.94 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 15.24 180) + (length 2.54) + (name "D3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 12.7 180) + (length 2.54) + (name "D4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -12.7 0) + (length 2.54) + (name "A14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 10.16 180) + (length 2.54) + (name "D5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 7.62 180) + (length 2.54) + (name "D6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 5.08 180) + (length 2.54) + (name "D7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -22.86 0) + (length 2.54) + (name "~{CS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -2.54 0) + (length 2.54) + (name "A10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -20.32 0) + (length 2.54) + (name "~{OE}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -5.08 0) + (length 2.54) + (name "A11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 0 0) + (length 2.54) + (name "A9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 2.54 0) + (length 2.54) + (name "A8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "29" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -7.62 0) + (length 2.54) + (name "A12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -10.16 0) + (length 2.54) + (name "A13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "30" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -17.78 0) + (length 2.54) + (name "~{WE}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "31" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 27.94 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "32" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 5.08 0) + (length 2.54) + (name "A7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 7.62 0) + (length 2.54) + (name "A6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 10.16 0) + (length 2.54) + (name "A5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 12.7 0) + (length 2.54) + (name "A4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 15.24 0) + (length 2.54) + (name "A3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 17.78 0) + (length 2.54) + (name "A2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Memory_RAM:HM62256BLP" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -10.16 20.955 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + ) + (property "Value" "HM62256BLP" + (at 2.54 20.955 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + ) + (property "Footprint" "Package_DIP:DIP-28_W15.24mm" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://web.mit.edu/6.115/www/document/62256.pdf" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "32,768-word × 8-bit High Speed CMOS Static RAM, 70ns, DIP-28" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "RAM SRAM CMOS MEMORY" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W15.24mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "HM62256BLP_0_0" + (pin power_in line + (at 0 -22.86 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 22.86 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "HM62256BLP_0_1" + (rectangle + (start -10.16 20.32) + (end 10.16 -20.32) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "HM62256BLP_1_1" + (pin input line + (at -12.7 -17.78 0) + (length 2.54) + (name "A14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 17.78 0) + (length 2.54) + (name "A0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 17.78 180) + (length 2.54) + (name "Q0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 15.24 180) + (length 2.54) + (name "Q1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 12.7 180) + (length 2.54) + (name "Q2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 10.16 180) + (length 2.54) + (name "Q3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 7.62 180) + (length 2.54) + (name "Q4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 5.08 180) + (length 2.54) + (name "Q5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 2.54 180) + (length 2.54) + (name "Q6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 0 180) + (length 2.54) + (name "Q7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -12.7 0) + (length 2.54) + (name "A12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 12.7 -5.08 180) + (length 2.54) + (name "~{CS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -7.62 0) + (length 2.54) + (name "A10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 12.7 -10.16 180) + (length 2.54) + (name "~{OE}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -10.16 0) + (length 2.54) + (name "A11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -5.08 0) + (length 2.54) + (name "A9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -2.54 0) + (length 2.54) + (name "A8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -15.24 0) + (length 2.54) + (name "A13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "26" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 12.7 -12.7 180) + (length 2.54) + (name "~{WE}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 0 0) + (length 2.54) + (name "A7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 2.54 0) + (length 2.54) + (name "A6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 5.08 0) + (length 2.54) + (name "A5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 7.62 0) + (length 2.54) + (name "A4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 10.16 0) + (length 2.54) + (name "A3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 12.7 0) + (length 2.54) + (name "A2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 15.24 0) + (length 2.54) + (name "A1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "kitty:R" + (pin_numbers hide) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "R" + (at 2.032 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "R" + (at 0 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at -1.778 0 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "R res resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "R_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "R_0_1" + (rectangle + (start -1.016 -2.54) + (end 1.016 2.54) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "R_1_1" + (pin passive line + (at 0 3.81 270) + (length 1.27) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 1.27) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:+5V" + (power) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+5V_1_1" + (pin power_in line + (at 0 0 90) + (length 0) hide + (name "+5V" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:GND" + (power) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_1_1" + (pin power_in line + (at 0 0 270) + (length 0) hide + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + ) + (junction + (at 53.34 175.26) + (diameter 0) + (color 0 0 0 0) + (uuid "67138818-b1f1-403c-9a79-1818ea0c1a7e") + ) + (junction + (at 68.58 133.35) + (diameter 0) + (color 0 0 0 0) + (uuid "6bd13ddf-32f0-4af3-a946-ce888223ecb4") + ) + (junction + (at 22.86 175.26) + (diameter 0) + (color 0 0 0 0) + (uuid "6ec74feb-828f-4ee6-83f7-b39bf6ba99ca") + ) + (junction + (at 53.34 182.88) + (diameter 0) + (color 0 0 0 0) + (uuid "726f823a-f4e1-424c-9620-46cef7534d1a") + ) + (junction + (at 38.1 175.26) + (diameter 0) + (color 0 0 0 0) + (uuid "7a055694-583d-4073-9aa8-ab3dbbc5baf2") + ) + (junction + (at 22.86 182.88) + (diameter 0) + (color 0 0 0 0) + (uuid "8b144da4-9db3-42de-9d5c-68e7748a5e62") + ) + (junction + (at 69.85 175.26) + (diameter 0) + (color 0 0 0 0) + (uuid "ab2dc5cc-2698-4069-9be1-6444784f1ce9") + ) + (junction + (at 86.36 182.88) + (diameter 0) + (color 0 0 0 0) + (uuid "b846d107-7943-4ee5-9d2d-a5faae846a1f") + ) + (junction + (at 86.36 175.26) + (diameter 0) + (color 0 0 0 0) + (uuid "bbba2c68-a335-4f3d-81a7-bb36c131376d") + ) + (junction + (at 69.85 182.88) + (diameter 0) + (color 0 0 0 0) + (uuid "c683df79-1ecc-4e4b-a7ba-f0f6c1296f48") + ) + (junction + (at 142.24 118.11) + (diameter 0) + (color 0 0 0 0) + (uuid "d17399e8-e607-44b1-be65-f7fd1673f2ac") + ) + (junction + (at 105.41 153.67) + (diameter 0) + (color 0 0 0 0) + (uuid "df16dc1f-cc66-443d-9fde-1e29eacaa1c8") + ) + (junction + (at 142.24 130.81) + (diameter 0) + (color 0 0 0 0) + (uuid "e15612bb-b9a9-43df-ad93-074ee6e2888c") + ) + (junction + (at 110.49 160.02) + (diameter 0) + (color 0 0 0 0) + (uuid "e5be1a4a-7da8-4c66-9696-2b53871239dc") + ) + (junction + (at 142.24 133.35) + (diameter 0) + (color 0 0 0 0) + (uuid "e6fd78ce-7c8d-434d-9857-cae339f47fb0") + ) + (junction + (at 142.24 135.89) + (diameter 0) + (color 0 0 0 0) + (uuid "edee25b8-def3-4e98-99cb-2db445373ace") + ) + (junction + (at 121.92 130.81) + (diameter 0) + (color 0 0 0 0) + (uuid "f9afd55b-89ca-4ad1-86be-b7d2c0fe4c2c") + ) + (junction + (at 38.1 182.88) + (diameter 0) + (color 0 0 0 0) + (uuid "fd85d9a6-5def-4f7a-b5bd-42ef11454fda") + ) + (no_connect + (at 129.54 83.82) + (uuid "0af721b4-b126-42b7-a527-23007c2da462") + ) + (no_connect + (at 57.15 48.26) + (uuid "1c370ba1-6b43-4caa-855a-29db84729d71") + ) + (no_connect + (at 57.15 45.72) + (uuid "72c85749-fb90-42df-af6e-a1ee2bf0f0f1") + ) + (no_connect + (at 129.54 81.28) + (uuid "afdd575d-d813-4592-b7d0-5f7cdb82aedc") + ) + (no_connect + (at 57.15 43.18) + (uuid "fa680520-2422-4e9a-8976-1ee41dec518c") + ) + (no_connect + (at 129.54 78.74) + (uuid "fd885527-b24c-46bc-9481-a576291f9fe1") + ) + (bus_entry + (at 91.44 73.66) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "06d0da55-f1d2-4ea5-8e08-1a81714348a1") + ) + (bus_entry + (at 110.49 115.57) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "0dbf6e5a-6ead-4a31-a5c4-cb779610dafa") + ) + (bus_entry + (at 60.96 120.65) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "0e021b6c-3ead-445e-b328-d8a3fe3e50b3") + ) + (bus_entry + (at 91.44 71.12) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "0e0451b5-c957-40d1-a7a6-d8649471888d") + ) + (bus_entry + (at 187.96 71.12) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "0e61e7e1-57ef-40a2-a846-42ccf69d23f3") + ) + (bus_entry + (at 88.9 53.34) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "1236e09a-d964-4a3f-96a3-0d001b33255f") + ) + (bus_entry + (at 187.96 63.5) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "1aaf1cb6-943b-458b-be93-817883fc7eec") + ) + (bus_entry + (at 187.96 50.8) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "20abeb9a-0ee4-43b9-ab58-f777ffc6c95e") + ) + (bus_entry + (at 91.44 66.04) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "245b2ffb-7a47-4992-b1e6-8d7bcbc5569c") + ) + (bus_entry + (at 232.41 105.41) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "27201f0f-7a95-401d-9cbe-3c7a02e14a9b") + ) + (bus_entry + (at 232.41 113.03) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "2b628d06-4bf1-4963-955c-eb9c05d040b6") + ) + (bus_entry + (at 91.44 81.28) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "2db76ba2-471e-4ea1-9472-7f7268bf05a0") + ) + (bus_entry + (at 110.49 120.65) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "2fccf95f-4e4e-45c9-b129-753fc5e0a736") + ) + (bus_entry + (at 187.96 66.04) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "30248231-12c5-4c84-8e53-20f9a0146805") + ) + (bus_entry + (at 187.96 55.88) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "317f40cf-4908-4257-b98a-1e923fd43d03") + ) + (bus_entry + (at 187.96 45.72) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3985f0c9-6e3e-4525-be11-f69eadab1654") + ) + (bus_entry + (at 88.9 43.18) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3ae6e8ee-2806-4d01-a8e4-30bd1567d950") + ) + (bus_entry + (at 232.41 110.49) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3bfc72b2-9d73-471b-977e-0fb65af049cd") + ) + (bus_entry + (at 187.96 48.26) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3da61996-285e-4050-b759-6cab789ea701") + ) + (bus_entry + (at 232.41 102.87) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3e1d483d-e762-462b-9a46-631705a99261") + ) + (bus_entry + (at 88.9 50.8) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3fb148a9-cfdc-4e08-ae99-00fd20321db7") + ) + (bus_entry + (at 60.96 118.11) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "474f8255-6e48-4e9d-8145-b88946b2fb53") + ) + (bus_entry + (at 232.41 50.8) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "49c180c7-420e-4c1d-a516-5ae49aa7d7a2") + ) + (bus_entry + (at 187.96 120.65) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "4d295415-647f-4b30-aa01-ec3ec46dd2f5") + ) + (bus_entry + (at 232.41 107.95) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "51e8c9fe-08d7-4e60-92ea-e23c919bb618") + ) + (bus_entry + (at 232.41 48.26) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "534215a7-97b1-4849-88f5-009fdf47015e") + ) + (bus_entry + (at 187.96 115.57) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "540b3acf-acff-4016-a7f0-c667f10fbbea") + ) + (bus_entry + (at 187.96 107.95) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "58aabd34-1975-4b3a-a82a-9896fdea504a") + ) + (bus_entry + (at 187.96 110.49) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "59396f23-f7a8-449a-9795-e9114549b0f6") + ) + (bus_entry + (at 91.44 76.2) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "5f32a19d-eac4-415d-b1d5-567370084365") + ) + (bus_entry + (at 187.96 123.19) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "5fe2276d-bc05-40e3-88e7-adf141d30b10") + ) + (bus_entry + (at 187.96 113.03) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "6326d352-9f76-45c5-a757-0a4981070abc") + ) + (bus_entry + (at 187.96 130.81) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "6f726093-b0e6-4519-b9db-6f55be204361") + ) + (bus_entry + (at 187.96 40.64) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "70158807-b288-419f-a46d-8d823f7a453e") + ) + (bus_entry + (at 187.96 60.96) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "73054545-17b0-4c30-bd33-1c2cb84175db") + ) + (bus_entry + (at 232.41 100.33) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "79f64bf4-4fcf-48bf-8a95-6cfa8c0672f2") + ) + (bus_entry + (at 187.96 97.79) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "81c3eb94-4284-44c1-b1fe-0954e760a183") + ) + (bus_entry + (at 232.41 53.34) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "8bf4d5ea-73fa-4235-93f3-7b0d0646c0e7") + ) + (bus_entry + (at 187.96 100.33) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "91e7ee82-926b-4798-82bb-0c68853231f0") + ) + (bus_entry + (at 232.41 40.64) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "9bfb098e-5f50-4644-b3d0-6f3764b402a2") + ) + (bus_entry + (at 232.41 58.42) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "9f2b32f0-292d-43ea-aeaf-a00828bb0499") + ) + (bus_entry + (at 110.49 118.11) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "aa31cb70-5984-4610-b829-b67909e3d776") + ) + (bus_entry + (at 187.96 102.87) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "aa69efa6-a251-4f94-b29e-41d15fe1c340") + ) + (bus_entry + (at 187.96 58.42) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "ab088ee4-0d28-4077-bcaa-92a84a8d07c4") + ) + (bus_entry + (at 187.96 118.11) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "abf27024-5d45-4fb9-a173-5c861019ba80") + ) + (bus_entry + (at 91.44 68.58) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "ad94b319-4ba2-4b3c-9e2d-fb25c628b653") + ) + (bus_entry + (at 187.96 128.27) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "add813bf-4d00-4b3c-8743-6240e74219bd") + ) + (bus_entry + (at 187.96 125.73) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "b4924c96-f588-42c1-8436-78d455aaab38") + ) + (bus_entry + (at 232.41 55.88) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "b616f063-1667-4433-86d9-cc447519ea48") + ) + (bus_entry + (at 232.41 43.18) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "ba646949-0f78-4fdc-8e6d-42d0ac9e324f") + ) + (bus_entry + (at 232.41 115.57) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "bf334738-c800-41ca-8b58-01a133a84baa") + ) + (bus_entry + (at 187.96 43.18) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "c14ae6da-a6e1-41d1-a58c-a8fc57717a78") + ) + (bus_entry + (at 91.44 78.74) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "c30c592f-29d0-4540-8c37-2135f02f74db") + ) + (bus_entry + (at 91.44 63.5) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "c54d57ed-2d79-4863-9a4f-24d6b81258ee") + ) + (bus_entry + (at 60.96 115.57) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "cb27733d-dad5-45d0-b518-cdfb6c72a48b") + ) + (bus_entry + (at 88.9 58.42) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "d554b636-baf8-40ec-a9ad-b69c5fc7a3fa") + ) + (bus_entry + (at 232.41 118.11) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "deb776c0-182d-4dab-8740-786947aee6ae") + ) + (bus_entry + (at 187.96 105.41) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "e242c62b-959f-4377-8c0e-64ec86cd74de") + ) + (bus_entry + (at 187.96 38.1) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "e72d666d-f77a-4902-83bb-32507cfa014b") + ) + (bus_entry + (at 232.41 45.72) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "e75a7af2-8eb2-4308-b733-6e769e275aab") + ) + (bus_entry + (at 88.9 48.26) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "ea611aa7-158e-40af-ac11-9af06047d400") + ) + (bus_entry + (at 88.9 55.88) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "ef84a673-f599-43ae-be3d-ada314038492") + ) + (bus_entry + (at 187.96 53.34) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "f434ffd6-412d-42e3-826e-17d093275870") + ) + (bus_entry + (at 187.96 133.35) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "f6331c04-05ec-49cf-b410-03dfafe3a0d8") + ) + (bus_entry + (at 88.9 45.72) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "f6457fd9-1cca-49bd-9341-b2b32208523a") + ) + (bus_entry + (at 187.96 68.58) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "fd5fcfef-6596-47fb-a698-a9411e0dd530") + ) + (bus_entry + (at 88.9 60.96) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "fd8b569e-a818-4576-bcf9-780dd6439d3a") + ) + (wire + (pts + (xy 223.52 107.95) (xy 232.41 107.95) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0485f232-d8b4-4871-9eb2-6029a2c6d73b") + ) + (wire + (pts + (xy 82.55 53.34) (xy 88.9 53.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "04eddf11-5b0b-41c3-8694-779f67dd9cfe") + ) + (wire + (pts + (xy 63.5 120.65) (xy 74.93 120.65) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0611ec09-57c5-48d7-a737-dbaad9c747b0") + ) + (wire + (pts + (xy 190.5 48.26) (xy 200.66 48.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "063667f8-ab7d-492c-943d-02893986bb4d") + ) + (bus + (pts + (xy 187.96 102.87) (xy 187.96 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0678e040-3df3-4ef2-8264-6dc9a079f805") + ) + (wire + (pts + (xy 229.87 63.5) (xy 226.06 63.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "08db528d-c039-452b-8215-0d20c236688b") + ) + (bus + (pts + (xy 187.96 63.5) (xy 187.96 66.04) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0a853e09-22ea-45f7-a881-554cbca2bae3") + ) + (bus + (pts + (xy 187.96 105.41) (xy 187.96 107.95) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0ba50b4b-7148-481a-9be8-7fee6ea51506") + ) + (bus + (pts + (xy 91.44 71.12) (xy 91.44 73.66) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0c463162-e1a4-4487-a831-4ac694829cd8") + ) + (bus + (pts + (xy 187.96 115.57) (xy 187.96 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0d7a061b-7287-4550-94d6-dd3f1b80ed05") + ) + (wire + (pts + (xy 226.06 50.8) (xy 232.41 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "12a90996-d366-4040-b4a8-223666018b61") + ) + (wire + (pts + (xy 22.86 175.26) (xy 38.1 175.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "12f2a30f-84f7-44db-a81e-54ac91c30ae6") + ) + (bus + (pts + (xy 187.96 100.33) (xy 187.96 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "13a6f9b1-8c28-43f0-98b0-0331f391d4db") + ) + (wire + (pts + (xy 105.41 153.67) (xy 151.13 153.67) + ) + (stroke + (width 0) + (type default) + ) + (uuid "149c781c-6128-4785-ad3e-242696179cde") + ) + (bus + (pts + (xy 187.96 40.64) (xy 187.96 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "166fd424-dd35-4e5f-8117-5e97ec81b86b") + ) + (wire + (pts + (xy 82.55 60.96) (xy 88.9 60.96) + ) + (stroke + (width 0) + (type default) + ) + (uuid "167ffcc5-ce71-40ac-9fee-9c054fdd5bd0") + ) + (bus + (pts + (xy 187.96 60.96) (xy 187.96 63.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "19b7ad4d-02a4-4f89-8b1e-f6c47aed9a41") + ) + (wire + (pts + (xy 223.52 115.57) (xy 232.41 115.57) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1a50974b-dede-4374-885e-80f14669c127") + ) + (wire + (pts + (xy 223.52 105.41) (xy 232.41 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1ea56f5e-5777-4006-b137-3ec899401fc7") + ) + (wire + (pts + (xy 86.36 182.88) (xy 101.6 182.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "22bb9051-fead-40b2-a7f0-8b4ef1a6292a") + ) + (bus + (pts + (xy 91.44 25.4) (xy 91.44 45.72) + ) + (stroke + (width 0) + (type default) + ) + (uuid "232cdc39-8330-4986-b38a-0e65d5b3b755") + ) + (bus + (pts + (xy 234.95 102.87) (xy 234.95 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "23fc6a53-0013-46e6-8646-3475c5e2116d") + ) + (bus + (pts + (xy 187.96 55.88) (xy 187.96 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "249b1435-506d-4726-93d8-cf5dd0fdcfc3") + ) + (bus + (pts + (xy 91.44 45.72) (xy 91.44 48.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "28754d34-1733-4dcd-89b7-317b4e56a787") + ) + (wire + (pts + (xy 22.86 182.88) (xy 38.1 182.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "29422f90-b3b2-4370-8b77-c69a3b82f7e0") + ) + (bus + (pts + (xy 187.96 38.1) (xy 187.96 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2a243861-9a2f-4ea5-a0d6-0e678ebc7a42") + ) + (wire + (pts + (xy 121.92 130.81) (xy 121.92 133.35) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2e53acae-46ef-4f5c-8974-4fd76aab1e90") + ) + (bus + (pts + (xy 187.96 68.58) (xy 187.96 71.12) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2f0b377e-0c8c-45b2-a644-91c1222c8007") + ) + (bus + (pts + (xy 187.96 45.72) (xy 187.96 48.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2f85d705-cb5e-4f76-a880-e3aab2ec78b0") + ) + (bus + (pts + (xy 234.95 48.26) (xy 234.95 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "31b6fc98-3821-4a6a-aa85-f395c87759b7") + ) + (wire + (pts + (xy 142.24 146.05) (xy 203.2 146.05) + ) + (stroke + (width 0) + (type default) + ) + (uuid "345bc715-786f-4d8d-892b-45847c6c458c") + ) + (wire + (pts + (xy 82.55 50.8) (xy 88.9 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3563be9b-bdce-4201-a942-ea06513e6396") + ) + (bus + (pts + (xy 234.95 53.34) (xy 234.95 55.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "365c95c4-b9be-4bf2-89b2-c9539139ab5e") + ) + (wire + (pts + (xy 82.55 45.72) (xy 88.9 45.72) + ) + (stroke + (width 0) + (type default) + ) + (uuid "39ab5c27-fbe8-46f8-b97c-a394f407d7c1") + ) + (wire + (pts + (xy 190.5 66.04) (xy 200.66 66.04) + ) + (stroke + (width 0) + (type default) + ) + (uuid "39e20082-ca13-40c6-88af-a08137ddd173") + ) + (wire + (pts + (xy 190.5 120.65) (xy 203.2 120.65) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3b785e3e-4df9-4e92-99b1-72e04735f38b") + ) + (bus + (pts + (xy 91.44 58.42) (xy 91.44 60.96) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3f282526-b79d-4cc7-b1d1-ac9cc9e6d3b8") + ) + (wire + (pts + (xy 226.06 43.18) (xy 232.41 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3fb44fc3-5071-4046-a2ea-786065d3d06d") + ) + (bus + (pts + (xy 187.96 110.49) (xy 187.96 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3fb9c98e-ad09-4f1c-bf1d-392a62a66b70") + ) + (wire + (pts + (xy 190.5 107.95) (xy 203.2 107.95) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3fe427bf-7aff-465c-876c-a58b5e1de230") + ) + (wire + (pts + (xy 226.06 40.64) (xy 232.41 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "43d8aea5-1168-457e-ba97-f93156652d15") + ) + (wire + (pts + (xy 190.5 130.81) (xy 203.2 130.81) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4422e942-6bd1-4003-8bf3-384ed50db781") + ) + (wire + (pts + (xy 68.58 130.81) (xy 68.58 133.35) + ) + (stroke + (width 0) + (type default) + ) + (uuid "44430ee9-ae15-4244-8748-6158794510bd") + ) + (wire + (pts + (xy 190.5 128.27) (xy 203.2 128.27) + ) + (stroke + (width 0) + (type default) + ) + (uuid "444f9bf3-8018-48b2-8897-0c01a0b012d2") + ) + (wire + (pts + (xy 82.55 48.26) (xy 88.9 48.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "44b02512-4c3f-436a-bfe9-9c93974d2396") + ) + (wire + (pts + (xy 190.5 50.8) (xy 200.66 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4614ce45-31e3-4531-a26b-2e14d54684b6") + ) + (wire + (pts + (xy 190.5 55.88) (xy 200.66 55.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "464880ad-8744-4d20-9d1b-16ab98f8993a") + ) + (bus + (pts + (xy 110.49 160.02) (xy 187.96 160.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "46c7ae28-20c6-483a-b3e0-df0802ff548f") + ) + (bus + (pts + (xy 234.95 105.41) (xy 234.95 107.95) + ) + (stroke + (width 0) + (type default) + ) + (uuid "47224880-e070-4f6a-a806-dfd1dae284bb") + ) + (wire + (pts + (xy 96.52 102.87) (xy 96.52 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "47c7b205-3527-4fd8-8d10-9b816aa74c24") + ) + (bus + (pts + (xy 187.96 125.73) (xy 187.96 128.27) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4c16932e-f703-49be-8fba-53f31e706afd") + ) + (wire + (pts + (xy 190.5 45.72) (xy 200.66 45.72) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4d51caad-bba0-4203-af33-6f41bc3b4994") + ) + (wire + (pts + (xy 190.5 100.33) (xy 203.2 100.33) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4dcc50f4-63a8-4db3-86a9-d4140cd0d9ff") + ) + (bus + (pts + (xy 91.44 76.2) (xy 91.44 78.74) + ) + (stroke + (width 0) + (type default) + ) + (uuid "51e91551-02a8-495b-8792-09671832e536") + ) + (wire + (pts + (xy 151.13 123.19) (xy 151.13 153.67) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5214fe05-4931-430a-800c-89d96c5cacd5") + ) + (wire + (pts + (xy 113.03 118.11) (xy 121.92 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "525dc7ab-68b5-4726-a55a-ce778061238c") + ) + (wire + (pts + (xy 63.5 118.11) (xy 74.93 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "53a826b7-618c-4823-97c4-415fc03a4430") + ) + (wire + (pts + (xy 69.85 175.26) (xy 86.36 175.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "557dfc48-5094-40ea-b3ae-126169a6623f") + ) + (bus + (pts + (xy 110.49 120.65) (xy 110.49 160.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "57700250-bd6d-4f11-9524-1a1d0e9ff80b") + ) + (wire + (pts + (xy 93.98 73.66) (xy 104.14 73.66) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5938eda7-cc17-4c7e-b57d-ff115c43c9b6") + ) + (wire + (pts + (xy 93.98 68.58) (xy 104.14 68.58) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5d5f086e-3c83-4516-b890-de0751d59fab") + ) + (wire + (pts + (xy 190.5 125.73) (xy 203.2 125.73) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5dc172ef-7fb6-4d98-9181-17a33d7925d5") + ) + (wire + (pts + (xy 190.5 43.18) (xy 200.66 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5fdd6e6f-4c5d-4ee8-ba68-958bdc1668a8") + ) + (wire + (pts + (xy 190.5 63.5) (xy 200.66 63.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "634fd9b3-25e8-4c20-9cf1-aebf5e012a7b") + ) + (wire + (pts + (xy 63.5 123.19) (xy 74.93 123.19) + ) + (stroke + (width 0) + (type default) + ) + (uuid "64b8a705-a8c1-4f3c-b76a-9ba08ef044c2") + ) + (bus + (pts + (xy 234.95 110.49) (xy 234.95 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "65908854-0494-4f9c-b8bf-22eb144049cb") + ) + (wire + (pts + (xy 82.55 43.18) (xy 88.9 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "678fee11-3f81-4f96-907b-6fdbdf3aa02b") + ) + (wire + (pts + (xy 68.58 130.81) (xy 74.93 130.81) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6848d1b3-b6ef-4748-986b-b97c4c10941a") + ) + (bus + (pts + (xy 234.95 50.8) (xy 234.95 53.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "69a280dd-c8ad-4faa-b05a-07aff5176e93") + ) + (bus + (pts + (xy 234.95 115.57) (xy 234.95 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "69a7a4a6-0df2-4e33-a383-f8014396e389") + ) + (bus + (pts + (xy 187.96 118.11) (xy 187.96 120.65) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6a2431f6-3164-41e3-a0cf-1881a44d1886") + ) + (bus + (pts + (xy 60.96 118.11) (xy 60.96 120.65) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6b888154-ea8f-41a8-828d-07e941431a7d") + ) + (wire + (pts + (xy 190.5 68.58) (xy 200.66 68.58) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6d850d68-6a07-4d66-b9b7-c1309068d27d") + ) + (bus + (pts + (xy 234.95 45.72) (xy 234.95 48.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6e78952b-cde2-43c5-8d37-0104bfbf5f6e") + ) + (bus + (pts + (xy 187.96 48.26) (xy 187.96 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6fa0403b-80d2-4855-a1f7-f908f05f9a28") + ) + (wire + (pts + (xy 93.98 71.12) (xy 104.14 71.12) + ) + (stroke + (width 0) + (type default) + ) + (uuid "70b255b0-9cab-4239-bf19-c83139705a1a") + ) + (wire + (pts + (xy 190.5 135.89) (xy 203.2 135.89) + ) + (stroke + (width 0) + (type default) + ) + (uuid "74fa38c6-4ca7-4a00-85e8-c9a0c97d2be9") + ) + (bus + (pts + (xy 187.96 66.04) (xy 187.96 68.58) + ) + (stroke + (width 0) + (type default) + ) + (uuid "784a23ef-e538-4c0b-ae5e-f3ada60b3db7") + ) + (wire + (pts + (xy 93.98 81.28) (xy 104.14 81.28) + ) + (stroke + (width 0) + (type default) + ) + (uuid "786d50f7-ac01-4db7-934b-8cc667f36bb3") + ) + (wire + (pts + (xy 142.24 128.27) (xy 142.24 130.81) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7885df18-de30-475a-a58c-7e7219e9e0d9") + ) + (bus + (pts + (xy 234.95 55.88) (xy 234.95 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "79b3be1c-ee47-4020-8bf1-4d09a5f413e7") + ) + (bus + (pts + (xy 187.96 113.03) (xy 187.96 115.57) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7bc6dd08-7b9a-49eb-b8af-03ed6f7142ae") + ) + (wire + (pts + (xy 190.5 53.34) (xy 200.66 53.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7d58ea38-9f45-4c6c-b2db-3da65a39f893") + ) + (wire + (pts + (xy 93.98 83.82) (xy 104.14 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7e77dba6-0960-4b1f-9fa7-7f079214509e") + ) + (wire + (pts + (xy 113.03 120.65) (xy 121.92 120.65) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7f2e77a6-942e-421c-b7ea-9739c67db908") + ) + (wire + (pts + (xy 223.52 102.87) (xy 232.41 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7f42e9db-5e00-42b2-9737-d3d8ee261458") + ) + (bus + (pts + (xy 187.96 53.34) (xy 187.96 55.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7feae5c7-4d6b-4ab5-80c0-8fc3300bf435") + ) + (bus + (pts + (xy 234.95 113.03) (xy 234.95 115.57) + ) + (stroke + (width 0) + (type default) + ) + (uuid "80f37eeb-fa95-47b8-a19a-4e6e35f80ac8") + ) + (wire + (pts + (xy 78.74 102.87) (xy 81.28 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "83c4c6cc-3cd2-4d62-807f-1c233c97bbd0") + ) + (wire + (pts + (xy 53.34 182.88) (xy 69.85 182.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "85b807bd-67bf-400e-8bf6-e54758d6e2e6") + ) + (wire + (pts + (xy 74.93 133.35) (xy 68.58 133.35) + ) + (stroke + (width 0) + (type default) + ) + (uuid "864d0c8b-962d-42b7-8ccb-c4a582632078") + ) + (wire + (pts + (xy 190.5 73.66) (xy 200.66 73.66) + ) + (stroke + (width 0) + (type default) + ) + (uuid "865ce7d8-f666-4583-a6c1-16e08e266e9e") + ) + (bus + (pts + (xy 187.96 120.65) (xy 187.96 123.19) + ) + (stroke + (width 0) + (type default) + ) + (uuid "89699406-4393-414b-9960-ae481e640633") + ) + (wire + (pts + (xy 82.55 55.88) (xy 88.9 55.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8a6c02da-d103-4eb4-ab45-5e011473c3c4") + ) + (bus + (pts + (xy 91.44 53.34) (xy 91.44 55.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8ddbad1b-9917-4417-81f7-4117dec56b53") + ) + (wire + (pts + (xy 223.52 118.11) (xy 232.41 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8f3833c8-67f8-4eb6-9272-f423078945b2") + ) + (wire + (pts + (xy 190.5 123.19) (xy 203.2 123.19) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8f9bdb5f-10fe-4813-a4d3-304224967500") + ) + (wire + (pts + (xy 95.25 118.11) (xy 96.52 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8fa76c84-9c0b-43d0-8ad1-9c2d81ba5e74") + ) + (bus + (pts + (xy 234.95 118.11) (xy 234.95 120.65) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8fbc50d2-b48b-4f12-8689-a41dbc21193c") + ) + (wire + (pts + (xy 78.74 91.44) (xy 78.74 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "92b61358-1d57-49c0-bafc-cf71a20ae439") + ) + (bus + (pts + (xy 91.44 66.04) (xy 91.44 68.58) + ) + (stroke + (width 0) + (type default) + ) + (uuid "940561e2-262a-447e-875b-91fabe875428") + ) + (wire + (pts + (xy 142.24 130.81) (xy 142.24 133.35) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9623dab4-6154-4c0e-b124-c237dece84b7") + ) + (wire + (pts + (xy 190.5 115.57) (xy 203.2 115.57) + ) + (stroke + (width 0) + (type default) + ) + (uuid "97d9b09b-bbf7-4109-9cb3-8ef754e218e3") + ) + (bus + (pts + (xy 110.49 115.57) (xy 110.49 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "983127c3-51ea-43be-a6b6-e77e1d5df1b6") + ) + (wire + (pts + (xy 226.06 45.72) (xy 232.41 45.72) + ) + (stroke + (width 0) + (type default) + ) + (uuid "984ed4cc-7113-4889-8e18-5e3787694094") + ) + (bus + (pts + (xy 110.49 160.02) (xy 60.96 160.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "98a8ee17-1154-4ccf-a880-6fc35ef389ec") + ) + (wire + (pts + (xy 142.24 118.11) (xy 184.15 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9b68c4eb-3fe7-48dc-98de-ff7cb38f279f") + ) + (wire + (pts + (xy 113.03 123.19) (xy 121.92 123.19) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9c45b6ba-db37-4332-9577-849486c5297c") + ) + (wire + (pts + (xy 86.36 175.26) (xy 101.6 175.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9e02ede9-f0e9-4600-b39f-719716695cfb") + ) + (bus + (pts + (xy 187.96 123.19) (xy 187.96 125.73) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9e5b6e8c-babc-4783-9d93-72b745a65710") + ) + (bus + (pts + (xy 234.95 107.95) (xy 234.95 110.49) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a1362e0f-afb1-445b-b742-84bcf84bf919") + ) + (wire + (pts + (xy 190.5 40.64) (xy 200.66 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a2011262-fa15-4910-8d20-586fb1b88156") + ) + (bus + (pts + (xy 91.44 48.26) (xy 91.44 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a32aaf0d-641a-4aae-96b1-501f71d2c729") + ) + (wire + (pts + (xy 142.24 118.11) (xy 142.24 120.65) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a5512c73-cb4f-4444-af11-4ab2e25c3383") + ) + (bus + (pts + (xy 91.44 68.58) (xy 91.44 71.12) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a6ca2bed-35b6-4b97-a38b-dcac2d60a6bf") + ) + (wire + (pts + (xy 190.5 58.42) (xy 200.66 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a81c72e6-6e51-46c4-901e-861fa4359ae9") + ) + (wire + (pts + (xy 190.5 102.87) (xy 203.2 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a8c12e38-9f0b-4bc5-aa42-108daf272008") + ) + (wire + (pts + (xy 226.06 58.42) (xy 232.41 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a8c98b57-8fcd-48f8-a6d1-d68bd19284a9") + ) + (bus + (pts + (xy 187.96 43.18) (xy 187.96 45.72) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ab92a60b-73b5-4632-97b3-fc6981f35a98") + ) + (wire + (pts + (xy 226.06 48.26) (xy 232.41 48.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ac51ac67-bede-4676-aa70-d2fdb468a1f8") + ) + (wire + (pts + (xy 190.5 113.03) (xy 203.2 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ae99e2e8-3e26-4104-b0a7-1304864c7728") + ) + (bus + (pts + (xy 91.44 25.4) (xy 234.95 25.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b1390ffd-733c-49df-9bc9-d25ce2475eed") + ) + (bus + (pts + (xy 91.44 50.8) (xy 91.44 53.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b2ee9b9f-1ad0-4758-afca-c6edae5ab4e1") + ) + (wire + (pts + (xy 223.52 113.03) (xy 232.41 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b4389cd4-a5e5-41dd-b101-353c3c1269d2") + ) + (bus + (pts + (xy 91.44 55.88) (xy 91.44 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b456d296-0cd8-497f-a48f-d17de8b852ae") + ) + (wire + (pts + (xy 105.41 148.59) (xy 105.41 153.67) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b7b171c8-a577-4d87-aa34-fa2a4bb8ef6b") + ) + (wire + (pts + (xy 69.85 182.88) (xy 86.36 182.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b9207a6e-c08b-4d04-8438-a78913e62afd") + ) + (wire + (pts + (xy 142.24 135.89) (xy 142.24 146.05) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b9c3ca66-2a96-4290-8a9a-311e1115b9d5") + ) + (wire + (pts + (xy 184.15 87.63) (xy 229.87 87.63) + ) + (stroke + (width 0) + (type default) + ) + (uuid "baa3b313-354b-4f83-9951-4848dbd90663") + ) + (wire + (pts + (xy 190.5 105.41) (xy 203.2 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bb728836-0082-4b59-9b6b-671b6cdaec93") + ) + (wire + (pts + (xy 38.1 182.88) (xy 53.34 182.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bc1925d2-43d2-4865-a2af-8a631e22b4e7") + ) + (bus + (pts + (xy 91.44 73.66) (xy 91.44 76.2) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c10a8ab5-af71-4823-aca3-87ff2de3bb52") + ) + (bus + (pts + (xy 187.96 50.8) (xy 187.96 53.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c11d46d4-b55a-4319-94b7-f907fae45d24") + ) + (wire + (pts + (xy 226.06 55.88) (xy 232.41 55.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c588bb55-99b3-4469-b559-4e139cbe5fd6") + ) + (bus + (pts + (xy 234.95 43.18) (xy 234.95 45.72) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c7d10e6a-dfc6-4c48-b6fc-e7a5e52c3d14") + ) + (wire + (pts + (xy 82.55 58.42) (xy 88.9 58.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cc883239-8415-4e2c-9c7b-b94b521929e2") + ) + (wire + (pts + (xy 190.5 118.11) (xy 203.2 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ce4a50d1-5eb0-4116-8668-2ed6e0805cde") + ) + (bus + (pts + (xy 187.96 71.12) (xy 187.96 97.79) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ce7ddd0f-f69a-4fd5-82c5-fb1e78213eb0") + ) + (wire + (pts + (xy 190.5 60.96) (xy 200.66 60.96) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cfbdb743-5e27-42e5-b373-b9b3a25e6832") + ) + (bus + (pts + (xy 187.96 128.27) (xy 187.96 130.81) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d0c3435f-f9ce-4268-b9bb-1e995ab1c636") + ) + (wire + (pts + (xy 93.98 88.9) (xy 104.14 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d1678372-9dd3-4d53-9070-4d560a10c8df") + ) + (wire + (pts + (xy 142.24 123.19) (xy 151.13 123.19) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d3bbdcce-0859-41b4-a43d-41f00874e2ae") + ) + (bus + (pts + (xy 234.95 25.4) (xy 234.95 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d6e53dd6-6335-4826-95ed-566e76cef3a6") + ) + (wire + (pts + (xy 223.52 110.49) (xy 232.41 110.49) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d7415c6c-713f-4d3c-aae2-c5bf041a7177") + ) + (wire + (pts + (xy 68.58 133.35) (xy 68.58 153.67) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d7dcf848-deb5-4364-877c-0d97df0d2454") + ) + (wire + (pts + (xy 226.06 53.34) (xy 232.41 53.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d8c1f5b8-a7d5-4ce6-b66c-2310f6efe614") + ) + (wire + (pts + (xy 190.5 71.12) (xy 200.66 71.12) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d922f200-9eb6-43a5-91bb-ff802dbc00e8") + ) + (wire + (pts + (xy 93.98 66.04) (xy 104.14 66.04) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d9cbf703-e994-465d-933a-e3fefb2b280d") + ) + (wire + (pts + (xy 68.58 153.67) (xy 105.41 153.67) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dac38fea-f81b-4f44-8ba8-59607a7be1a0") + ) + (bus + (pts + (xy 91.44 78.74) (xy 91.44 81.28) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dc72941b-b8bd-4790-ada3-61f40a4ec67c") + ) + (wire + (pts + (xy 74.93 148.59) (xy 90.17 148.59) + ) + (stroke + (width 0) + (type default) + ) + (uuid "df3baada-10bb-4c1d-9642-43dfc807cc31") + ) + (bus + (pts + (xy 187.96 133.35) (xy 187.96 160.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "df3e6827-adbc-4967-ad80-6df718e90719") + ) + (bus + (pts + (xy 60.96 115.57) (xy 60.96 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dfe544e2-1e81-4c54-be94-5a8c25caef8a") + ) + (wire + (pts + (xy 53.34 175.26) (xy 69.85 175.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e24552a9-855b-41ea-afa9-a0201918a28e") + ) + (bus + (pts + (xy 187.96 97.79) (xy 187.96 100.33) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e2b70e48-94e2-4b5b-b54f-8ee3b355f007") + ) + (wire + (pts + (xy 184.15 87.63) (xy 184.15 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e37dccb0-cfdd-443a-b2b8-45f561486b4d") + ) + (wire + (pts + (xy 190.5 133.35) (xy 203.2 133.35) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e3a24a25-2c1e-4b11-b2f4-09d33b64ae61") + ) + (wire + (pts + (xy 190.5 110.49) (xy 203.2 110.49) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e637179e-2597-4383-82f4-153818e1a3b1") + ) + (wire + (pts + (xy 229.87 63.5) (xy 229.87 87.63) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e67533c5-8efa-4eab-998f-e86019134258") + ) + (bus + (pts + (xy 187.96 107.95) (xy 187.96 110.49) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e760e821-9bee-4d29-8bd8-e8184a30801d") + ) + (bus + (pts + (xy 234.95 60.96) (xy 234.95 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e980d811-f8c1-4b58-bb5c-76251e6f8780") + ) + (wire + (pts + (xy 93.98 78.74) (xy 104.14 78.74) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ebbcca0e-570e-47e0-b648-d19624c6a2e6") + ) + (bus + (pts + (xy 187.96 58.42) (xy 187.96 60.96) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ed27daad-1cdf-48a8-88fd-a29cd6405bd0") + ) + (bus + (pts + (xy 91.44 60.96) (xy 91.44 63.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "edea382f-03e3-492a-a7a9-eaa776a32bf6") + ) + (bus + (pts + (xy 91.44 63.5) (xy 91.44 66.04) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f1d04018-9691-4bcb-8499-0674e7d9460f") + ) + (wire + (pts + (xy 223.52 100.33) (xy 232.41 100.33) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f24d2916-ef34-444b-a9aa-1d96fe1ff2d7") + ) + (wire + (pts + (xy 74.93 135.89) (xy 74.93 148.59) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f839eaad-fb20-4bcb-8de3-42385fb58f5c") + ) + (wire + (pts + (xy 93.98 76.2) (xy 104.14 76.2) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f9e016d8-90d4-4005-b4e0-5fde8020363c") + ) + (wire + (pts + (xy 38.1 175.26) (xy 53.34 175.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fad1fc3e-da49-4f44-a559-8b4a7dcf33c5") + ) + (bus + (pts + (xy 110.49 118.11) (xy 110.49 120.65) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fb893732-0316-4de7-bc83-d9e3bb5cf97a") + ) + (bus + (pts + (xy 60.96 120.65) (xy 60.96 160.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fba36b7e-4d4b-4048-a946-2c201492c58f") + ) + (wire + (pts + (xy 142.24 133.35) (xy 142.24 135.89) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fc5ccdaa-66b1-4b88-af83-ffe2814b9975") + ) + (bus + (pts + (xy 187.96 130.81) (xy 187.96 133.35) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fe6696eb-fd61-407c-a68c-008a6fc4cd88") + ) + (bus + (pts + (xy 234.95 58.42) (xy 234.95 60.96) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ff770bbb-6517-4bdf-9ae2-86b1e236309d") + ) + (text "Hardware Control Register\n| 0 | 0 | 0 | Charset MSB | Charset LSB | VRAM MSB | VRAM LSB | RAM Page |" + (exclude_from_sim no) + (at 95.25 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "3ad6408b-859d-4d1e-b5f5-e131fce22c02") + ) + (label "D3" + (at 232.41 48.26 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "00aa8b3f-f321-4db2-8aa0-ca3103228797") + ) + (label "A9" + (at 63.5 118.11 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "0d8b999a-1f35-4be4-bc01-bfb75eeffdac") + ) + (label "A1" + (at 190.5 43.18 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "16d94ba6-d878-43c6-96d6-6c11833cc5fa") + ) + (label "A14" + (at 113.03 120.65 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "1bd461e9-e2fd-4007-a74f-4c09939d5588") + ) + (label "A11" + (at 63.5 123.19 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "22ff7133-c2e3-476a-b4fd-827541f76697") + ) + (label "D4" + (at 93.98 76.2 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "23a18dbf-7db3-4bb6-80e3-c63667783ef7") + ) + (label "A12" + (at 190.5 130.81 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "26c52808-fcdb-4cd8-aa78-defe3c6ed015") + ) + (label "A9" + (at 190.5 63.5 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "28d99dda-923d-4997-879c-76a5a51c84a5") + ) + (label "A9" + (at 190.5 123.19 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "3697e621-e56b-41e3-923a-61b5be1af6f0") + ) + (label "D2" + (at 229.87 105.41 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "3b187d72-22bb-4815-89b2-039fe78a5539") + ) + (label "D0" + (at 88.9 60.96 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "3e798575-d005-4eff-9cd5-019b1a6e2fe8") + ) + (label "A10" + (at 63.5 120.65 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "458eaa06-83eb-4871-9311-61ff73d0c4b8") + ) + (label "D7" + (at 88.9 43.18 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "4ad65372-ad8b-4c78-93f2-d5aa74713110") + ) + (label "A14" + (at 190.5 135.89 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "55258481-0bce-4a76-bf71-bbb1fd570418") + ) + (label "A5" + (at 190.5 53.34 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "56c0bdc4-88df-438e-9a28-c173a25c08ef") + ) + (label "D6" + (at 88.9 45.72 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "5eea5a01-59f9-4797-890e-abd10e9cd50f") + ) + (label "D5" + (at 229.87 113.03 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "5fb4c8cf-e9bc-4ac5-8ca8-f279caeb7500") + ) + (label "A13" + (at 190.5 73.66 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "61950bfb-6ca6-4f86-accc-f51b9b2c5960") + ) + (label "D5" + (at 88.9 48.26 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "625f6681-428d-4da0-bf2e-11575ffc5e0f") + ) + (label "A6" + (at 190.5 55.88 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "644666e2-0293-4e07-8f62-34f5e9f5eddd") + ) + (label "D1" + (at 229.87 102.87 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "6f9cd56c-ff7b-427b-8b1d-6030f4f27139") + ) + (label "A3" + (at 190.5 48.26 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "7354aff4-f577-47aa-b033-95501307e010") + ) + (label "A8" + (at 190.5 120.65 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "7a36d48e-4708-451e-8422-9473b961d921") + ) + (label "A7" + (at 190.5 58.42 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "7a95df10-0cf0-42dd-9e74-962c581d008b") + ) + (label "D0" + (at 93.98 66.04 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "7c92a9bc-853e-4a3c-8ce5-31c552fb2947") + ) + (label "A11" + (at 190.5 128.27 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "7d101c93-ecaa-40ae-983d-fda4a9257baa") + ) + (label "A15" + (at 113.03 123.19 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "7ffb7926-c193-4a5c-aab3-cb0d60e77ba7") + ) + (label "A6" + (at 190.5 115.57 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "81e74c60-96b8-40ff-8764-b3e86996f88d") + ) + (label "D6" + (at 229.87 115.57 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "83d56597-13d8-4763-b358-3dab76fee2c1") + ) + (label "D7" + (at 229.87 118.11 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "85f492e5-63ae-4303-ab3c-eff7bee34b71") + ) + (label "A2" + (at 190.5 45.72 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "88c1cf6e-aa1d-4c0b-922d-2c44ac3676ec") + ) + (label "D4" + (at 229.87 110.49 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "8990f98e-e25c-48d8-9970-459ae395c5d8") + ) + (label "D6" + (at 93.98 81.28 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "8b84616c-995e-47ed-93e7-223ac2b09839") + ) + (label "A12" + (at 190.5 71.12 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "8c1a69b8-446c-45c3-8696-e2c15404f89d") + ) + (label "D6" + (at 232.41 55.88 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "96505ca0-202e-4982-acc6-7de5b3d6d7e5") + ) + (label "A13" + (at 113.03 118.11 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "9853cdc4-98ab-4d4d-bc11-f7e44db77f0f") + ) + (label "A2" + (at 190.5 105.41 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "9c2d9fa0-9e4f-455a-a383-391d21310a25") + ) + (label "A7" + (at 190.5 118.11 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "9d6847f4-50dc-410d-8882-fea9f22145f7") + ) + (label "A3" + (at 190.5 107.95 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "9f967f20-d80b-4a29-8da9-8d195ff52f67") + ) + (label "A10" + (at 190.5 125.73 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "ac7ee0d6-6368-4582-b0c4-d4cef7ee5754") + ) + (label "A11" + (at 190.5 68.58 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "b2c0ad56-8cc0-4c24-be52-65fecafa448d") + ) + (label "D2" + (at 88.9 55.88 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "b3c1c47c-8556-4f3b-bc4e-f57ba6067835") + ) + (label "D0" + (at 232.41 40.64 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "b5f9b022-9bce-4845-a940-c29efcf569e1") + ) + (label "D3" + (at 93.98 73.66 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "b7311ba0-7f3d-4a25-a417-9da9c2c1220e") + ) + (label "D4" + (at 232.41 50.8 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "b7666295-b28d-411c-b37a-35df67e92394") + ) + (label "A5" + (at 190.5 113.03 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "badde059-278e-4e32-bb6f-61a73a800a2e") + ) + (label "D1" + (at 232.41 43.18 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "bd29aa22-494d-47a4-a526-a75c07651cda") + ) + (label "D1" + (at 93.98 68.58 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "c5742835-088d-410f-a0af-635739df203d") + ) + (label "D2" + (at 93.98 71.12 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "c7b6b1d8-e30a-489b-8822-302be088ab66") + ) + (label "D5" + (at 232.41 53.34 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "c7e23642-4bbb-4141-8fbd-f68d32c45f1d") + ) + (label "D1" + (at 88.9 58.42 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "c9cbf677-b792-4b9a-90fd-c42c10fb65a8") + ) + (label "D5" + (at 93.98 78.74 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "cf48d41f-1546-46f0-881e-16960d07269f") + ) + (label "A13" + (at 190.5 133.35 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "d6dc4f8e-6a8b-49f8-a9d6-5112f00ca4a5") + ) + (label "D7" + (at 232.41 58.42 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "d8ba7c26-5862-4799-91a2-9dab5bfc1af2") + ) + (label "A1" + (at 190.5 102.87 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "db666c25-fce1-4db2-ae56-b549de3c1d2f") + ) + (label "D4" + (at 88.9 50.8 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "dd9fa9cb-050b-4e31-96a8-45f3cfc2971f") + ) + (label "A8" + (at 190.5 60.96 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "e00b0a99-22be-4055-b63a-3180a03fa95c") + ) + (label "A10" + (at 190.5 66.04 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "e2dfb0f3-c686-4c5d-9893-0f61a1903838") + ) + (label "D7" + (at 93.98 83.82 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "e7cba64b-894c-484a-bd4c-2d927eeea243") + ) + (label "D3" + (at 88.9 53.34 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "e925ee1e-d0ff-4b1e-be33-174bfa7c2fcf") + ) + (label "D0" + (at 229.87 100.33 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "e9a89270-3778-4edd-85a9-5a4d69afe83f") + ) + (label "D3" + (at 229.87 107.95 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "eb2b2eb9-626f-45d8-94ab-af88ed591b2d") + ) + (label "A4" + (at 190.5 50.8 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "ed14f127-d810-4621-bfbb-6075a5d7d373") + ) + (label "A4" + (at 190.5 110.49 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "f3b9b7a8-9efe-4b2e-840d-2779d6b4b8cd") + ) + (label "D2" + (at 232.41 45.72 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "f6a13437-b865-4ce0-9242-8981b627dc21") + ) + (label "A0" + (at 190.5 100.33 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "fa8f40f2-7a71-45a4-a3ff-3e9c312430dc") + ) + (label "A0" + (at 190.5 40.64 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "fd568505-82a6-4405-b2e5-dabee207de15") + ) + (global_label "~{R}{slash}W" + (shape input) + (at 78.74 86.36 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "066e4fee-61a2-40b6-b263-3bb5479ddfae") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 71.7029 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "~{Synth}" + (shape output) + (at 95.25 125.73 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "0d0c5212-00c4-4e6e-b620-a3b6ba940930") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 103.436 125.73 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "VRAM LSB" + (shape input) + (at 57.15 58.42 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "0fded4e3-8d51-4fc5-98b4-1380e0b6e0ea") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 44.791 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "D[0..7]" + (shape bidirectional) + (at 91.44 25.4 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "12540bfb-1f5e-41d8-9a01-8c87c471bf01") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 80.7515 25.4 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "Charset 0" + (shape output) + (at 129.54 73.66 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "406ab418-459b-4c85-938b-2a1c7755c01e") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 141.8989 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "~{CRTC}" + (shape output) + (at 95.25 120.65 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "4266b3a4-2754-43ab-b9a2-ea8fe08775a5") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 103.0128 120.65 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "VRAM LSB" + (shape output) + (at 129.54 68.58 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "61b0baf5-b502-4a4e-be71-aa165dfceb54") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 141.899 68.58 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "RAM Page" + (shape output) + (at 129.54 66.04 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "65d17544-9edb-400e-abe1-611d80d75f9d") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 141.9594 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "~{Keyboard}" + (shape output) + (at 95.25 123.19 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "710fa8a2-5b96-47bd-89a5-eb7c8a7a75e5") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 106.9436 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "RAM Page" + (shape input) + (at 200.66 76.2 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "79032d85-b68b-4c07-8f15-7414b04908d9") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 188.2406 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "RAM Page" + (shape input) + (at 57.15 60.96 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "80c070b0-4420-4e36-8ab6-39e0de67a4cc") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 44.7306 60.96 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "Charset 0" + (shape input) + (at 57.15 53.34 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "85542452-d616-4283-b01f-45f9cebfcf87") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 44.7911 53.34 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "~{R}{slash}W" + (shape input) + (at 82.55 35.56 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "92efc574-be1c-468c-b27f-f248e3bc12f9") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 89.5871 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "A[0..15]" + (shape input) + (at 60.96 160.02 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "a606e75c-dee6-4c0c-b705-88002af8d6a1") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 50.3547 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "R{slash}~{W}" + (shape input) + (at 226.06 71.12 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "abfcbfe2-945d-4bac-a716-03a6098bc1f8") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 233.0971 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "VRAM LSB" + (shape input) + (at 57.15 55.88 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "ae816e11-0b2b-467a-b1b5-7540d3ad09b8") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 44.791 55.88 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "Charset 1" + (shape output) + (at 129.54 76.2 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "be404163-1942-4a7e-93c9-14f79218c193") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 141.8989 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "Charset 1" + (shape input) + (at 57.15 50.8 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "c24fd74a-1889-4aa7-be77-ef36917500a1") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 44.7911 50.8 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "VRAM MSB" + (shape output) + (at 129.54 71.12 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "d8b8f922-45ff-48cc-af10-14b37eaabcd4") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 142.3223 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "~{VRAM}" + (shape output) + (at 142.24 125.73 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "dcc52a27-f23f-4b01-b005-da1d3e00d505") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 150.1238 125.73 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (symbol + (lib_id "74xx:74HC138") + (at 132.08 128.27 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "03b95b43-3a4f-4edd-a202-664760106068") + (property "Reference" "U18" + (at 134.2741 110.49 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "74HC137" + (at 134.2741 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-16_W7.62mm_Socket" + (at 132.08 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/cd74hc238.pdf" + (at 132.08 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 132.08 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "3c1fb608-8ae6-4a53-9174-6a9a7ea441d3") + ) + (pin "10" + (uuid "d2dd1665-15d0-4bc8-8753-a4e3ad547798") + ) + (pin "11" + (uuid "7db51af5-848d-4097-a996-9b33403715cb") + ) + (pin "12" + (uuid "0432d231-dde7-4829-a89c-51d46d05ce93") + ) + (pin "13" + (uuid "53aef231-70d5-4a46-85a2-e098edc83cd6") + ) + (pin "14" + (uuid "958fdc87-ede4-4ee7-82b1-4c182407008a") + ) + (pin "15" + (uuid "3eaa8a7e-8fc1-475f-9919-f88e8c5ffc39") + ) + (pin "16" + (uuid "9a58b7b0-0660-46b7-894f-e27d3485b4fa") + ) + (pin "2" + (uuid "5c151fe8-b012-4bec-9d65-62bd54b63b47") + ) + (pin "3" + (uuid "358de942-998b-4bc5-b3db-5a4b7a88b5f2") + ) + (pin "4" + (uuid "41164bac-9d49-44a4-97d5-bb03178b81a8") + ) + (pin "5" + (uuid "ce5e882b-ce68-427e-9335-4aac5274f343") + ) + (pin "6" + (uuid "fde02da6-d4a8-445c-a12c-f083c7f8f933") + ) + (pin "7" + (uuid "81bf1e59-3aec-409b-880c-4d1d06e93c60") + ) + (pin "8" + (uuid "6c4ed6dd-ce18-46a1-a31c-232c844067e7") + ) + (pin "9" + (uuid "e5e179f1-dd41-4da0-8852-50671e32e080") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "U18") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at -7.62 132.08 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0676cde8-24af-489c-b4aa-9b66ef856eb5") + (property "Reference" "#PWR057" + (at -7.62 138.43 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at -7.62 136.525 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at -7.62 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at -7.62 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at -7.62 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "814350c4-7e3a-448e-88b1-1d5cbb309ff7") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR057") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 213.36 95.25 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0f32ddb3-0a05-42d3-b37d-1c600efd5f6d") + (property "Reference" "#PWR019" + (at 213.36 99.06 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 213.36 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 213.36 95.25 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 213.36 95.25 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 213.36 95.25 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "72bda2dd-1440-42cf-aaaa-ad3698d3824e") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR019") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC14") + (at 88.9 102.87 180) + (unit 2) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "11b0ddb2-3f6b-4532-b802-3ba6166a1bc4") + (property "Reference" "U16" + (at 88.9 93.98 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC14" + (at 88.9 96.52 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 88.9 102.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" + (at 88.9 102.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 88.9 102.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "62ed35c0-1334-4b95-93cd-60b12dc36251") + ) + (pin "2" + (uuid "98ef8a66-eea7-428b-bcea-1d1237ba71c2") + ) + (pin "3" + (uuid "ba9805f2-ed3b-4e2b-8096-0e0f945483cb") + ) + (pin "4" + (uuid "1c3f5a85-7655-467d-9210-e39aede31a0a") + ) + (pin "5" + (uuid "73c9b852-8307-44c3-9b4a-30fd026ed0b0") + ) + (pin "6" + (uuid "ad595d8c-9ab6-471b-8e9a-121738ba3f8f") + ) + (pin "8" + (uuid "66835d62-c883-4c70-8000-2f4f67c02eb6") + ) + (pin "9" + (uuid "9111867a-b118-44d3-96f1-d56244ddc361") + ) + (pin "10" + (uuid "e54857f4-fc1e-4ed7-bde0-e832d2bfaa41") + ) + (pin "11" + (uuid "1c1f2396-cb70-433c-86d0-0cc74ca1d0c2") + ) + (pin "12" + (uuid "2092a03d-f21f-49cf-9e76-814a3415fd39") + ) + (pin "13" + (uuid "5dda2585-b555-498d-be8b-20fa47817be8") + ) + (pin "14" + (uuid "faf0b7f7-9756-4c75-8693-f867ede007da") + ) + (pin "7" + (uuid "1af3486c-353e-42a4-9d46-78093dbdb959") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "U16") + (unit 2) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC08") + (at -5.08 59.69 0) + (unit 3) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "19f1bf23-3d58-4caa-88b9-c6b7322bb1e9") + (property "Reference" "U25" + (at -3.8183 50.8 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC08" + (at -3.8183 53.34 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W10.16mm" + (at -5.08 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc08.pdf" + (at -5.08 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at -5.08 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "8e69cb26-989b-431a-adb0-92049c79e132") + ) + (pin "2" + (uuid "4417d85f-64cc-449d-90a9-505791a5b083") + ) + (pin "3" + (uuid "2e51191a-9441-4a5c-97a0-b65292f039b6") + ) + (pin "4" + (uuid "1b9289e9-ba14-4caf-892e-6f70c1de4bd7") + ) + (pin "5" + (uuid "b449a6ad-5f54-4b28-b428-9d335171cfcb") + ) + (pin "6" + (uuid "407e060e-b668-4e26-af5c-5c98a8dde06c") + ) + (pin "10" + (uuid "6811cdd9-348c-4893-b437-e323929ca956") + ) + (pin "8" + (uuid "41500292-2c7f-4583-b5a7-bd73969c55cd") + ) + (pin "9" + (uuid "133aae3d-40d3-497f-9280-c530b2e5781e") + ) + (pin "11" + (uuid "baabb577-10b9-4504-9d12-7c49611e8339") + ) + (pin "12" + (uuid "9e4a1a2c-839a-437b-9d9b-8da697888e73") + ) + (pin "13" + (uuid "89437c7a-179c-4677-b92e-3f7a00e8da6b") + ) + (pin "14" + (uuid "49d63ad1-8011-4f8a-ad77-9ae9bc381f70") + ) + (pin "7" + (uuid "10d0d8d7-c7cb-471a-99a7-62aa38ba8641") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "U25") + (unit 3) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC08") + (at -5.08 81.28 0) + (unit 4) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "1dc83f99-d4ba-464a-8aeb-2345c64ccec8") + (property "Reference" "U25" + (at -3.8183 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC08" + (at -3.8183 74.93 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W10.16mm" + (at -5.08 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc08.pdf" + (at -5.08 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at -5.08 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "e058f070-99ab-43f1-9576-a0e25d2816cc") + ) + (pin "2" + (uuid "1d372232-8d2f-4708-b109-ceec30b83997") + ) + (pin "3" + (uuid "d6a46f6e-40e2-434a-aaf2-c9106bb66422") + ) + (pin "4" + (uuid "60e453b7-25f2-4a04-b057-37c7b443d09b") + ) + (pin "5" + (uuid "a46772eb-fd1e-4a1b-a732-a16651deaa1a") + ) + (pin "6" + (uuid "dde505c3-e601-406c-9403-2c060f685955") + ) + (pin "10" + (uuid "73b41243-18eb-41fa-9cf7-70d2d5590738") + ) + (pin "8" + (uuid "10790812-787c-4d3d-9961-02c661f15487") + ) + (pin "9" + (uuid "3b2b68c2-676b-4976-90a8-dab911b90964") + ) + (pin "11" + (uuid "8984d7fe-8505-4d49-94e1-e9d04024e467") + ) + (pin "12" + (uuid "7294b3db-7421-4191-8a90-ac72616a9fad") + ) + (pin "13" + (uuid "680ad564-1726-4796-9d2b-9c4570ab8d7e") + ) + (pin "14" + (uuid "d4354063-0cbb-4943-bb87-021ae54f919f") + ) + (pin "7" + (uuid "460be1b3-0013-4cc1-81cb-4a8abfbc5ea1") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "U25") + (unit 4) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 213.36 35.56 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "201b8d2f-049b-40cf-b311-7abaa28059ea") + (property "Reference" "#PWR031" + (at 213.36 39.37 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 213.36 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 213.36 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 213.36 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 213.36 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "a45bac36-d777-44c8-a895-bbb514737689") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR031") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 101.6 179.07 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "222dc9f4-b48f-4332-b537-9d09d4928a08") + (property "Reference" "C23" + (at 105.41 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 105.41 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 102.5652 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 101.6 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 101.6 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f00b649a-cfbd-41b1-88c0-2afc1da8abb2") + ) + (pin "2" + (uuid "9575e9d6-e49c-4653-ab70-b9fcc022905b") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "C23") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC14") + (at 97.79 148.59 180) + (unit 6) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "24949c95-0805-4ca5-be2a-006b39ff9351") + (property "Reference" "U6" + (at 97.79 140.335 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC14" + (at 97.79 142.875 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 97.79 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" + (at 97.79 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 97.79 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "a6b06b40-7d44-47fd-b9b0-506101f28714") + ) + (pin "2" + (uuid "08bf1bba-0a84-4e98-add2-ea2bb0e1371a") + ) + (pin "3" + (uuid "ba9805f2-ed3b-4e2b-8096-0e0f945483cc") + ) + (pin "4" + (uuid "1c3f5a85-7655-467d-9210-e39aede31a0b") + ) + (pin "5" + (uuid "73c9b852-8307-44c3-9b4a-30fd026ed0b1") + ) + (pin "6" + (uuid "ad595d8c-9ab6-471b-8e9a-121738ba3f90") + ) + (pin "8" + (uuid "66835d62-c883-4c70-8000-2f4f67c02eb7") + ) + (pin "9" + (uuid "9111867a-b118-44d3-96f1-d56244ddc362") + ) + (pin "10" + (uuid "e54857f4-fc1e-4ed7-bde0-e832d2bfaa42") + ) + (pin "11" + (uuid "1c1f2396-cb70-433c-86d0-0cc74ca1d0c3") + ) + (pin "12" + (uuid "2092a03d-f21f-49cf-9e76-814a3415fd3a") + ) + (pin "13" + (uuid "5dda2585-b555-498d-be8b-20fa47817be9") + ) + (pin "14" + (uuid "faf0b7f7-9756-4c75-8693-f867ede007db") + ) + (pin "7" + (uuid "1af3486c-353e-42a4-9d46-78093dbdb95a") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "U6") + (unit 6) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at -7.62 106.68 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "30e7c5cd-c918-41b6-ade8-a06e84acecfd") + (property "Reference" "#PWR056" + (at -7.62 110.49 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at -7.62 102.235 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at -7.62 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at -7.62 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at -7.62 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "0f05a3a5-3383-42e0-9008-5c76e16af951") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR056") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 104.14 91.44 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "3558a318-5dd2-4ffd-a718-83fd6bb4730e") + (property "Reference" "#PWR041" + (at 97.79 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 100.33 91.44 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 104.14 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 104.14 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 104.14 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "a890e4f5-dc72-440d-adf9-b186dbd3d025") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR041") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 213.36 81.28 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "4abae9d7-5f8a-4d32-a59f-8a5ca9c501b0") + (property "Reference" "#PWR011" + (at 213.36 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 213.36 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 213.36 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 213.36 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 213.36 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "910ac5b6-191c-4dc0-a26d-743ad62b7759") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR011") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "kitty:R") + (at 118.11 135.89 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "517d7df7-7960-4d1e-bc59-392cde401488") + (property "Reference" "R7" + (at 118.11 129.54 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "3.3k" + (at 118.11 132.08 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal" + (at 118.11 137.668 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 118.11 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 118.11 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f6806e67-4dcd-4d1d-a9ac-d89cf51ade45") + ) + (pin "2" + (uuid "02a4dfbb-44cc-4d5d-abe9-aae202aebb01") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "R7") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 116.84 99.06 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "54190f86-7649-4692-a9ce-5e9dcd7205a5") + (property "Reference" "#PWR017" + (at 116.84 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 116.84 104.14 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 116.84 99.06 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 116.84 99.06 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 116.84 99.06 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "d72ce0a2-da1f-4cd4-8770-db4165b722c3") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR017") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 226.06 68.58 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "5961a78b-4e5d-472c-93b1-026eb4d0c184") + (property "Reference" "#PWR032" + (at 232.41 68.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 229.87 68.58 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 226.06 68.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 226.06 68.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 226.06 68.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "db3018e8-0e1e-42cc-8ee2-c163a17a0e6e") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR032") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 53.34 179.07 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "59e595c7-e789-4f46-94ec-73dd963d4764") + (property "Reference" "C20" + (at 57.15 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 57.15 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 54.3052 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 53.34 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 53.34 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f51951c6-79c3-433f-8964-6921dffc5801") + ) + (pin "2" + (uuid "4223428c-f2dd-4ff4-bd17-dadda818ccee") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "C20") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 195.58 140.97 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "5bda897e-98dd-4e5e-8d00-5b3e7721bdc4") + (property "Reference" "#PWR027" + (at 199.39 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 191.77 140.97 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 195.58 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 195.58 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 195.58 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f9c6933f-b818-4406-a46e-44157cd3b702") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR027") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 82.55 38.1 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "670f50ed-5fee-44ae-bcda-32a74f2d6af7") + (property "Reference" "#PWR052" + (at 88.9 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 86.36 38.1 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 82.55 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 82.55 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 82.55 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "2602ad81-808d-4ed6-a06b-ec61bd2cfdea") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR052") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 38.1 179.07 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7175386b-44c8-40d7-bce9-d39f21ccf2b2") + (property "Reference" "C19" + (at 41.91 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 41.91 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 39.0652 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 38.1 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 38.1 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9cf3313c-c585-406c-a5b7-969bf5c564ec") + ) + (pin "2" + (uuid "c0416b81-0e7f-434f-bcb7-a9bda908fc06") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "C19") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC245") + (at 69.85 48.26 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "73695450-6466-4987-a226-eb154b07c71b") + (property "Reference" "U23" + (at 70.1959 27.94 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "74HC245" + (at 70.1959 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Package_DIP:DIP-20_W7.62mm_Socket" + (at 69.85 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" + (at 69.85 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 69.85 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "64491ca0-422b-48da-acfd-4c16c11221d7") + ) + (pin "10" + (uuid "6d431edd-24f5-4a82-96ad-865a5aaa6b9e") + ) + (pin "11" + (uuid "8d8f6992-dfb2-4165-bfdb-22067ca3111a") + ) + (pin "12" + (uuid "dfb42577-7d31-4585-8632-dcfb9059b574") + ) + (pin "13" + (uuid "1c02684e-51a3-4c0e-a02f-1f356c8f37d8") + ) + (pin "14" + (uuid "251b29a0-4c42-4a16-838c-3214c0672451") + ) + (pin "15" + (uuid "fc9ae75e-2609-40a6-b2cf-8a6e68916f26") + ) + (pin "16" + (uuid "bcffeb8d-b6ed-4a78-a8dd-37e8431fb3ae") + ) + (pin "17" + (uuid "36ad64c1-7546-4c6d-be0b-ad7e4a709e29") + ) + (pin "18" + (uuid "4b6b67b4-ff59-4aca-87d1-679535298f50") + ) + (pin "19" + (uuid "728c0935-c45e-4a17-9112-71334dbae9bb") + ) + (pin "2" + (uuid "c818273d-71c5-4e53-a522-f96fef3c5834") + ) + (pin "20" + (uuid "2eab53e4-1280-4e5b-b028-262c6ae72736") + ) + (pin "3" + (uuid "a2032a62-7d66-49ec-8d00-c019b2016ceb") + ) + (pin "4" + (uuid "45b4e08d-d417-44b9-91bb-fdba75589989") + ) + (pin "5" + (uuid "2567ddac-1ef0-4489-ae22-8c95d90683d3") + ) + (pin "6" + (uuid "9ab565b9-e254-4fd8-820e-1d5ba585bacb") + ) + (pin "7" + (uuid "548994d1-d4cd-4fbc-81f9-8819ab09d25e") + ) + (pin "8" + (uuid "c3e9d8d7-fef4-4ebc-aa29-d77ef24e5891") + ) + (pin "9" + (uuid "eda82a0e-bcd2-435e-9c70-614b62828c38") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "U23") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 132.08 113.03 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7e64aebd-24c4-4ff3-bbcd-7fb1b8983d0f") + (property "Reference" "#PWR034" + (at 132.08 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 132.08 108.585 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 132.08 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 132.08 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 132.08 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9fa600e4-aaaf-4d9f-9769-43bb06e63eb2") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR034") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 22.86 179.07 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7f6c507f-eecb-4eb0-8279-9554477a5736") + (property "Reference" "C18" + (at 26.67 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 26.67 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 23.8252 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 22.86 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 22.86 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "4680f0a6-44e5-41d2-a12f-2e26f3a842db") + ) + (pin "2" + (uuid "7eb4ac84-359a-4402-b960-00fbd3bc745c") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "C18") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 203.2 143.51 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "826848b4-6114-4eb7-bbdb-24af57c96171") + (property "Reference" "#PWR033" + (at 196.85 143.51 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 199.39 143.51 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 203.2 143.51 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 203.2 143.51 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 203.2 143.51 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "7631eead-5216-4edb-b170-ad4a6c2f9da8") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR033") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Memory_RAM:HM62256BLP") + (at 213.36 58.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "8814e372-948a-448b-9c67-50b6d427c709") + (property "Reference" "U8" + (at 215.5541 33.02 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "HM62256BLP" + (at 215.5541 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-28_W15.24mm" + (at 213.36 60.96 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://web.mit.edu/6.115/www/document/62256.pdf" + (at 213.36 60.96 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 213.36 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "14" + (uuid "08e5782b-e6ce-4d6a-93f6-445369aed49e") + ) + (pin "28" + (uuid "364a4ace-c37e-4549-be24-cb67da3322f5") + ) + (pin "1" + (uuid "845559c6-4ef6-4be6-b105-e12b93efeb06") + ) + (pin "10" + (uuid "5237abf8-e882-4fbb-b600-ff2bd8113035") + ) + (pin "11" + (uuid "8c029d9d-3989-4272-9351-aa6348c9c682") + ) + (pin "12" + (uuid "b04f6d62-c7e6-4eb4-842d-afcf89b74c59") + ) + (pin "13" + (uuid "d8c004bd-fe20-40ff-8337-b3ab4232e21c") + ) + (pin "15" + (uuid "f48517b6-b152-419b-b4a7-64d86eea1ed9") + ) + (pin "16" + (uuid "38525787-91c2-48e6-9f3a-f5ef55e1eef0") + ) + (pin "17" + (uuid "df85e007-6024-416d-9b1c-bb353838385c") + ) + (pin "18" + (uuid "686cd5a3-970b-4c0d-b2a3-2743e0515f00") + ) + (pin "19" + (uuid "08fa9596-b0be-4caf-a643-818373f2aa81") + ) + (pin "2" + (uuid "c5affe70-0ece-4eb6-92ca-3c4a5642e5de") + ) + (pin "20" + (uuid "9cac4f2e-6f81-49c6-a655-ecf29eaa1145") + ) + (pin "21" + (uuid "4975fb0b-43f0-435b-ab86-ca3503bcfeda") + ) + (pin "22" + (uuid "79acad06-3989-4a0b-8461-e6d40c57cd65") + ) + (pin "23" + (uuid "e292e736-aef1-47a9-b6b0-10b74a785ac3") + ) + (pin "24" + (uuid "c43369eb-b4f4-4608-8784-d650741bdb1c") + ) + (pin "25" + (uuid "62b0763d-97a1-4c2f-b734-37f507b759a1") + ) + (pin "26" + (uuid "6af4ff8c-7694-4682-b142-6e03524f6e5c") + ) + (pin "27" + (uuid "e91f960c-c1bb-4d76-b4e7-3704f0a5f6dc") + ) + (pin "3" + (uuid "ef9840b2-0292-4e9e-b2d1-9e3d93f3b395") + ) + (pin "4" + (uuid "423b62b2-82cf-4d18-9ddf-ef2217971155") + ) + (pin "5" + (uuid "13c88f36-7527-4e20-a198-f37d52ccd185") + ) + (pin "6" + (uuid "8cc062d7-9fef-42a5-89ff-6f73fa2a0bd9") + ) + (pin "7" + (uuid "8abb84ec-50ae-45fc-8b2b-169aa04c5223") + ) + (pin "8" + (uuid "342ce6a8-4872-412e-ab5e-f751d07aec89") + ) + (pin "9" + (uuid "3904c7ba-b4a4-423a-82bc-9391180e00cf") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "U8") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 86.36 179.07 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "88dae2d9-4fe0-411c-aabd-2abb991f55cb") + (property "Reference" "C22" + (at 90.17 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 90.17 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 87.3252 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 86.36 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 86.36 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "a225336a-ed15-4cb8-9b8d-8cf3c0706e7c") + ) + (pin "2" + (uuid "51f1ae49-73fc-47aa-8e18-94b0f6612304") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "C22") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC08") + (at -7.62 119.38 0) + (unit 5) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "953318f8-9135-4700-abc3-8a98b13942d3") + (property "Reference" "U25" + (at 0 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "74HC08" + (at 0 120.65 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W10.16mm" + (at -7.62 119.38 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc08.pdf" + (at -7.62 119.38 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at -7.62 119.38 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b54e8a9c-6b72-4d5f-a436-7e1b8b809390") + ) + (pin "2" + (uuid "43c07166-db4b-4796-abad-646c022b3e24") + ) + (pin "3" + (uuid "76bb16d7-e741-49d8-89f1-f8eaddbc81be") + ) + (pin "4" + (uuid "0805b622-2b68-4507-951d-63c3e6dcff71") + ) + (pin "5" + (uuid "ae5b36af-4915-4147-9a46-8b7b8811c73d") + ) + (pin "6" + (uuid "9c78c3f7-2b7a-4559-8732-9494b84b80cc") + ) + (pin "10" + (uuid "88b83969-cbff-4312-bccb-6827f26c5b96") + ) + (pin "8" + (uuid "084e1eb5-0a7f-4167-bd9e-eca8cbbb1d62") + ) + (pin "9" + (uuid "097e2f44-9f8c-46f1-bb46-43780a82df7d") + ) + (pin "11" + (uuid "8f9541d0-7609-4e33-90ac-92235eb21e9e") + ) + (pin "12" + (uuid "b6aa78cb-f888-43db-b1b4-155fab3c6c4b") + ) + (pin "13" + (uuid "c62b2dbe-8878-42c0-a0c4-c76880c9dbe2") + ) + (pin "14" + (uuid "a8c18706-19d3-4fa1-a237-ac2f56a23eb8") + ) + (pin "7" + (uuid "b7ec0810-e671-4630-b6f3-bd972a129295") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "U25") + (unit 5) + ) + ) + ) + ) + (symbol + (lib_id "kitty:R") + (at 199.39 140.97 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "95c9bb6c-34d1-4fe5-b3b1-8638c38a14c2") + (property "Reference" "R6" + (at 199.39 134.62 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "3.3k" + (at 199.39 137.16 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal" + (at 199.39 142.748 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 199.39 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 199.39 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b7788a8c-4b1d-4067-a1eb-970f39dbd721") + ) + (pin "2" + (uuid "e928cd66-13d0-4f77-8687-e1d9b1954bbb") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "R6") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 69.85 179.07 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "960970bc-04bc-4d54-882e-363c77d9334a") + (property "Reference" "C21" + (at 73.66 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 73.66 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 70.8152 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 69.85 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 69.85 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "016b0654-117d-4b17-9d94-6cf2abc0c616") + ) + (pin "2" + (uuid "66f03968-75e2-45ef-8eca-94849d122f8a") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "C21") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 85.09 113.03 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "9bdf4c50-afcc-470e-9c27-d4ce3533e072") + (property "Reference" "#PWR030" + (at 85.09 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 85.09 107.95 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 85.09 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 85.09 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 85.09 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "20a78e9e-6d0a-4328-b45f-3241fcdb5832") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR030") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 114.3 135.89 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "9e950488-2e8d-4342-a9dd-9f10048f14c4") + (property "Reference" "#PWR076" + (at 118.11 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 110.49 135.89 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 114.3 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 114.3 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 114.3 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9ada32f6-84ce-426d-b271-549b52d8acfd") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR076") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC138") + (at 85.09 128.27 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ad2bcd18-a938-4858-bfe0-9185ed70a0dc") + (property "Reference" "U15" + (at 87.2841 110.49 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "74HC137" + (at 87.2841 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-16_W7.62mm_Socket" + (at 85.09 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/cd74hc238.pdf" + (at 85.09 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 85.09 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "1ec24abc-f9f4-4b3a-a31e-6cfcf62ac258") + ) + (pin "10" + (uuid "e62db86f-5b83-4e05-a773-3f6aefe5d2a8") + ) + (pin "11" + (uuid "8a0371f3-bb06-49d6-8c7e-780a2d8fafa5") + ) + (pin "12" + (uuid "34775f6f-f845-4061-9196-b7b0a2396850") + ) + (pin "13" + (uuid "ef8a8b60-07ef-4112-8979-86c82ae115d5") + ) + (pin "14" + (uuid "aaf7d6df-ffbd-4633-8ff4-d2fe2198e67b") + ) + (pin "15" + (uuid "2987f547-a2d0-4878-988a-9c635149133e") + ) + (pin "16" + (uuid "feb72ae2-db23-4682-ac49-dd4fe8123d8b") + ) + (pin "2" + (uuid "051cb112-a184-4763-a204-bc4c27ec2731") + ) + (pin "3" + (uuid "a64b6359-e70b-4e28-9bf8-77d667124099") + ) + (pin "4" + (uuid "bb2b16e0-5577-463c-8b4a-a81b163010a5") + ) + (pin "5" + (uuid "fa6ee3e0-be30-4eb9-8139-126b4bdde723") + ) + (pin "6" + (uuid "58ac2635-f2b1-4b59-8efb-846f3faa4dd3") + ) + (pin "7" + (uuid "6b0de4d6-6525-4ead-9930-525145973bfe") + ) + (pin "8" + (uuid "34cbf516-7faf-40c5-905e-16e8725f2c26") + ) + (pin "9" + (uuid "2fb69a94-e261-4c38-a50a-0ad831668c95") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "U15") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 213.36 151.13 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "b39b7d1e-d144-4a36-be7e-6da9f19308dc") + (property "Reference" "#PWR028" + (at 213.36 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 213.36 156.21 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 213.36 151.13 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 213.36 151.13 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 213.36 151.13 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f498a80b-a2d5-42c6-837f-43928e0f45ea") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR028") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 121.92 130.81 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "b8c32fd1-9976-4e12-b09f-927702bb6ad0") + (property "Reference" "#PWR074" + (at 115.57 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 118.11 130.81 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 121.92 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 121.92 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 121.92 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "aabbe8b8-ec55-4fe2-88c4-f10de4f33bbb") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR074") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Memory_EEPROM:28C256lcc") + (at 213.36 123.19 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c2df1f6e-835e-4ed5-80a6-6a23c453a08c") + (property "Reference" "U7" + (at 215.5541 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "28C256" + (at 215.5541 95.25 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_LCC:PLCC-32_THT-Socket" + (at 213.36 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" + (at 213.36 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 213.36 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "10" + (uuid "2c6ad453-cfa9-4ea0-91c5-930c35b905e3") + ) + (pin "11" + (uuid "2d1bcb30-ade3-4623-a6a7-ba4e365ca9ff") + ) + (pin "13" + (uuid "0e48f4fa-8d02-4215-864a-50e1ee9ff983") + ) + (pin "14" + (uuid "ae5e7498-79de-4c71-bc34-ecb1bbf89cba") + ) + (pin "15" + (uuid "7b70f4e3-8307-43ad-a029-0a0b85a8b1ad") + ) + (pin "16" + (uuid "94164e62-7628-43e1-a3fa-b3489f7ec251") + ) + (pin "18" + (uuid "8e360e9c-b11a-4f52-a722-8e94d32a5e07") + ) + (pin "19" + (uuid "cf85991e-5aec-49ef-b947-1de7ef9df08d") + ) + (pin "2" + (uuid "de228db7-0f5f-4964-9d05-8bca40a20ca5") + ) + (pin "20" + (uuid "35d88e2a-4b92-4aed-97f6-b12012b1633b") + ) + (pin "21" + (uuid "172b658e-e5e4-4643-8dd8-c017bb519cde") + ) + (pin "22" + (uuid "9f19ac7e-a72c-464f-b917-e245923dbf8f") + ) + (pin "23" + (uuid "0a58692e-a5d0-4040-8e62-bc38fff23f28") + ) + (pin "24" + (uuid "63417ff0-0d61-4d46-ab58-4defbb2ed74f") + ) + (pin "25" + (uuid "0a0a6eda-878f-4076-9dee-198b4ab036d6") + ) + (pin "27" + (uuid "b164a36e-0e4d-4b52-8953-b712e99f8289") + ) + (pin "28" + (uuid "2160eb13-6d81-4c0e-bbd0-7510c110adf7") + ) + (pin "29" + (uuid "b8c84054-0986-4e13-9230-bf0b34c19cc3") + ) + (pin "3" + (uuid "8732cc88-8be5-413b-879e-c7b5d7878f16") + ) + (pin "30" + (uuid "43a64aad-0e62-4fab-b2d5-d8e2c63c343e") + ) + (pin "31" + (uuid "0a28f54f-cd2d-40f4-a9c7-1c7d46c712c9") + ) + (pin "32" + (uuid "a2d19223-ae76-42a9-9fbb-f98f10d120d4") + ) + (pin "4" + (uuid "f85d7224-4ae6-445d-a403-f548bd996012") + ) + (pin "5" + (uuid "d5c0136b-5550-4d57-9822-8e0b329963a3") + ) + (pin "6" + (uuid "a0e368f6-2927-464f-ba17-06ea264db404") + ) + (pin "7" + (uuid "3b1b7a19-5642-4b73-a67f-239c113ac645") + ) + (pin "8" + (uuid "cd179c55-a056-4ab1-b647-864c0df72de7") + ) + (pin "9" + (uuid "a9df445b-bce7-4cc0-9d6d-1e63f9e9d422") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "U7") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 132.08 140.97 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c4da5dd7-a2a8-48b3-8a67-d730a9092948") + (property "Reference" "#PWR020" + (at 132.08 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 132.08 145.415 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 132.08 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 132.08 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 132.08 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "0c1a1e07-b541-4709-a9c5-7a0212f26df0") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR020") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC08") + (at 85.09 88.9 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "cf54c088-f384-41dd-a837-89e287a7e428") + (property "Reference" "U25" + (at 86.3517 80.01 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC08" + (at 86.3517 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W10.16mm" + (at 85.09 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc08.pdf" + (at 85.09 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 85.09 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "927319f6-ff09-40fd-993e-c8dbbae3cd61") + ) + (pin "2" + (uuid "44507f6e-bd4b-497e-ab81-0cfb0ba63787") + ) + (pin "3" + (uuid "3b9ca047-2c50-46a3-8a1d-bfff513b8408") + ) + (pin "4" + (uuid "6c78f307-40fa-408d-aa75-faa9b93ae527") + ) + (pin "5" + (uuid "6838f2ca-7ebe-4d61-bb41-7c45d6f8fa1a") + ) + (pin "6" + (uuid "a9b881e2-2941-4658-8b9d-6525b75f78de") + ) + (pin "10" + (uuid "1292056f-2bdb-4b91-9b67-a27c77c2f623") + ) + (pin "8" + (uuid "197c1da0-d387-467d-ad20-0dea4601db80") + ) + (pin "9" + (uuid "93ad73f7-2b3d-47b8-a14b-bacd1387c44d") + ) + (pin "11" + (uuid "b8391e15-bad8-40d5-801c-de65e5c7feb0") + ) + (pin "12" + (uuid "5eac8a76-7b38-45e9-8219-ec139684535e") + ) + (pin "13" + (uuid "c3c681cb-b3fc-48d9-a176-7bea9a69cd96") + ) + (pin "14" + (uuid "52c351f5-cc8a-4468-bdbd-fc3781c9b1ea") + ) + (pin "7" + (uuid "5f6e1c33-f12e-4609-a405-5d6c2e02edc9") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "U25") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 85.09 140.97 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "d080b861-8ed4-43a3-89b6-6def55dc919d") + (property "Reference" "#PWR029" + (at 85.09 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 85.09 146.05 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 85.09 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 85.09 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 85.09 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "6554f9d6-1ceb-463b-94d6-2ba121e29424") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR029") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 69.85 27.94 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "d88d068b-2d6a-4fb7-89c7-44a62651c41a") + (property "Reference" "#PWR053" + (at 69.85 21.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 69.85 22.86 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 69.85 27.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 69.85 27.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 69.85 27.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "172c8db3-4800-4650-b934-1eb872b331af") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR053") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 22.86 175.26 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "dd4fc4f0-46d1-4dff-b2da-69a7a9228601") + (property "Reference" "#PWR099" + (at 22.86 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 22.86 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 22.86 175.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 22.86 175.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 22.86 175.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "fdd4e9c4-b469-4bb1-a193-a004fe5e6905") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR099") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 22.86 182.88 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e0d1e078-c742-4278-a7f7-2888b0a2ada0") + (property "Reference" "#PWR0100" + (at 22.86 189.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 22.86 187.96 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 22.86 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 22.86 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 22.86 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9cf200ac-f7b7-44f0-a5cf-06bfd50dfee5") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR0100") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 116.84 58.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e423d7ed-ef47-4cff-b1bf-d01aebb2f40d") + (property "Reference" "#PWR018" + (at 116.84 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 116.84 53.34 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 116.84 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 116.84 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 116.84 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "6b00212f-c262-4904-8ea0-63b38f642a69") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR018") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC373") + (at 116.84 78.74 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ef7107ff-1d5a-4688-9dcc-87d0e218e6af") + (property "Reference" "U11" + (at 119.0341 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "74HC373" + (at 119.0341 60.96 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-20_W7.62mm_Socket" + (at 116.84 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/cd54hc373.pdf" + (at 116.84 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 116.84 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "927dd371-7fac-4777-af59-4248d4428f38") + ) + (pin "10" + (uuid "0d663dae-ffb8-4704-a373-ec8e32f278b0") + ) + (pin "11" + (uuid "5fd8fd33-9c0d-4920-b162-e93d4bc7937d") + ) + (pin "12" + (uuid "5b05f089-4a13-4012-be39-886e8b2e9caa") + ) + (pin "13" + (uuid "214e2fbe-55f5-4f5a-9726-2b3bef9107cd") + ) + (pin "14" + (uuid "0fd7ccba-cc24-4d5b-b0fa-4e7ccbe8bc14") + ) + (pin "15" + (uuid "04b275fd-6ada-4869-b283-e49b95f90fb0") + ) + (pin "16" + (uuid "10b9ef9c-ad7f-4cdd-8393-af92afb73b0b") + ) + (pin "17" + (uuid "79533e06-a12d-4bb2-a479-348f10495472") + ) + (pin "18" + (uuid "a04eaf19-675c-449b-ac4c-94171c740518") + ) + (pin "19" + (uuid "094318ca-f62d-4e68-a11c-0f7ba521530b") + ) + (pin "2" + (uuid "41bb89ff-6ba6-45f6-9c8e-b3a1851dd306") + ) + (pin "20" + (uuid "2511eb09-0acd-4a25-8df3-39cb62e759c8") + ) + (pin "3" + (uuid "4d9d7190-965a-4acc-bbb1-cdf91e04d087") + ) + (pin "4" + (uuid "19002341-ba6c-4031-924a-9312cec6d66f") + ) + (pin "5" + (uuid "52ff15a1-a0f6-45d9-81a7-7321430a75ea") + ) + (pin "6" + (uuid "88cbcbab-0fb9-40ba-9739-0dae6aea7ca1") + ) + (pin "7" + (uuid "342c726e-2467-4841-a2ff-04af3f2c07cb") + ) + (pin "8" + (uuid "e5d47bdf-e6e2-4fc9-99a3-51927b123fb2") + ) + (pin "9" + (uuid "481dd0f8-1bb3-46ed-b4dd-789cf1671dd8") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "U11") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 69.85 68.58 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f2441809-9327-47fc-a41b-a9dd9de322a7") + (property "Reference" "#PWR054" + (at 69.85 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 69.85 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 69.85 68.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 69.85 68.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 69.85 68.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "ff7b19c3-7627-4578-bd14-7d91fdac5ed6") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" + (reference "#PWR054") + (unit 1) + ) + ) + ) + ) +) \ No newline at end of file diff --git a/ports.kicad_sch b/ports.kicad_sch index cf142b4..17f3db6 100644 --- a/ports.kicad_sch +++ b/ports.kicad_sch @@ -2007,7 +2007,7 @@ (justify left) ) ) - (property "Footprint" "Connector_PinSocket_1.27mm:PinSocket_1x04_P1.27mm_Vertical" + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_1x04_P2.54mm_Vertical" (at 72.39 52.07 0) (effects (font @@ -2124,7 +2124,7 @@ ) (symbol (lib_id "power:+5V") - (at 74.93 135.89 270) + (at 184.15 105.41 270) (unit 1) (exclude_from_sim no) (in_bom yes) @@ -2133,7 +2133,7 @@ (fields_autoplaced yes) (uuid "57c67a9e-5880-4fa5-a975-c6fa4beb53d1") (property "Reference" "#PWR075" - (at 71.12 135.89 0) + (at 180.34 105.41 0) (effects (font (size 1.27 1.27) @@ -2142,7 +2142,7 @@ ) ) (property "Value" "+5V" - (at 78.74 135.89 90) + (at 187.96 105.41 90) (effects (font (size 1.27 1.27) @@ -2151,7 +2151,7 @@ ) ) (property "Footprint" "" - (at 74.93 135.89 0) + (at 184.15 105.41 0) (effects (font (size 1.27 1.27) @@ -2160,7 +2160,7 @@ ) ) (property "Datasheet" "" - (at 74.93 135.89 0) + (at 184.15 105.41 0) (effects (font (size 1.27 1.27) @@ -2169,7 +2169,7 @@ ) ) (property "Description" "" - (at 74.93 135.89 0) + (at 184.15 105.41 0) (effects (font (size 1.27 1.27) @@ -2621,73 +2621,6 @@ ) ) ) - (symbol - (lib_id "power:+5V") - (at 168.91 105.41 270) - (unit 1) - (exclude_from_sim no) - (in_bom yes) - (on_board yes) - (dnp no) - (fields_autoplaced yes) - (uuid "be7534f4-e4ba-464d-8df3-4b532e6a38e7") - (property "Reference" "#PWR0111" - (at 165.1 105.41 0) - (effects - (font - (size 1.27 1.27) - ) - (hide yes) - ) - ) - (property "Value" "+5V" - (at 172.72 105.41 90) - (effects - (font - (size 1.27 1.27) - ) - (justify left) - ) - ) - (property "Footprint" "" - (at 168.91 105.41 0) - (effects - (font - (size 1.27 1.27) - ) - (hide yes) - ) - ) - (property "Datasheet" "" - (at 168.91 105.41 0) - (effects - (font - (size 1.27 1.27) - ) - (hide yes) - ) - ) - (property "Description" "" - (at 168.91 105.41 0) - (effects - (font - (size 1.27 1.27) - ) - (hide yes) - ) - ) - (pin "1" - (uuid "b80654a8-30d1-4cf2-8e04-14a6d2a626ba") - ) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" - (reference "#PWR0111") - (unit 1) - ) - ) - ) - ) (symbol (lib_id "power:GND") (at 110.49 125.73 0) @@ -2826,7 +2759,7 @@ ) (symbol (lib_id "Switch:SW_DIP_x01") - (at 67.31 135.89 0) + (at 176.53 105.41 0) (unit 1) (exclude_from_sim no) (in_bom yes) @@ -2835,7 +2768,7 @@ (fields_autoplaced yes) (uuid "dd871248-9c4d-4e16-ab4c-21c027fe9b1e") (property "Reference" "SW2" - (at 67.31 128.27 0) + (at 176.53 97.79 0) (effects (font (size 1.27 1.27) @@ -2843,15 +2776,15 @@ ) ) (property "Value" "SW_DIP_x01" - (at 67.31 130.81 0) + (at 176.53 100.33 0) (effects (font (size 1.27 1.27) ) ) ) - (property "Footprint" "" - (at 67.31 135.89 0) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" + (at 176.53 105.41 0) (effects (font (size 1.27 1.27) @@ -2860,7 +2793,7 @@ ) ) (property "Datasheet" "~" - (at 67.31 135.89 0) + (at 176.53 105.41 0) (effects (font (size 1.27 1.27) @@ -2869,7 +2802,7 @@ ) ) (property "Description" "" - (at 67.31 135.89 0) + (at 176.53 105.41 0) (effects (font (size 1.27 1.27) diff --git a/ports.kicad_sch-bak b/ports.kicad_sch-bak index da9a5b1..ec11bab 100644 --- a/ports.kicad_sch-bak +++ b/ports.kicad_sch-bak @@ -1,538 +1,3036 @@ -(kicad_sch (version 20230121) (generator eeschema) - - (uuid 2e9cc28a-99d5-4284-9510-64062a6ace3b) - - (paper "A4") - - (title_block - (title "ʕ·ᴥ·ʔ-ports") - ) - - (lib_symbols - (symbol "Connector:Barrel_Jack_MountingPin" (pin_names hide) (in_bom yes) (on_board yes) - (property "Reference" "J" (at 0 5.334 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Barrel_Jack_MountingPin" (at 1.27 -6.35 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 1.27 -1.016 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (at 1.27 -1.016 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "DC power barrel jack connector" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "DC Barrel Jack with a mounting pin" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "BarrelJack*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "Barrel_Jack_MountingPin_0_1" - (rectangle (start -5.08 3.81) (end 5.08 -3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (arc (start -3.302 3.175) (mid -3.9343 2.54) (end -3.302 1.905) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (arc (start -3.302 3.175) (mid -3.9343 2.54) (end -3.302 1.905) - (stroke (width 0.254) (type default)) - (fill (type outline)) - ) - (polyline - (pts - (xy 5.08 2.54) - (xy 3.81 2.54) - ) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -3.81 -2.54) - (xy -2.54 -2.54) - (xy -1.27 -1.27) - (xy 0 -2.54) - (xy 2.54 -2.54) - (xy 5.08 -2.54) - ) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (rectangle (start 3.683 3.175) (end -3.302 1.905) - (stroke (width 0.254) (type default)) - (fill (type outline)) - ) - ) - (symbol "Barrel_Jack_MountingPin_1_1" - (polyline - (pts - (xy -1.016 -4.572) - (xy 1.016 -4.572) - ) - (stroke (width 0.1524) (type default)) - (fill (type none)) - ) - (text "Mounting" (at 0 -4.191 0) - (effects (font (size 0.381 0.381))) - ) - (pin passive line (at 7.62 2.54 180) (length 2.54) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 7.62 -2.54 180) (length 2.54) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -7.62 90) (length 3.048) - (name "MountPin" (effects (font (size 1.27 1.27)))) - (number "MP" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Device:C_Polarized" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) - (property "Reference" "C" (at 0.635 2.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "C_Polarized" (at 0.635 -2.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 0.9652 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "cap capacitor" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Polarized capacitor" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "CP_*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "C_Polarized_0_1" - (rectangle (start -2.286 0.508) (end 2.286 1.016) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.778 2.286) - (xy -0.762 2.286) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 2.794) - (xy -1.27 1.778) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (rectangle (start 2.286 -0.508) (end -2.286 -1.016) - (stroke (width 0) (type default)) - (fill (type outline)) - ) - ) - (symbol "C_Polarized_1_1" - (pin passive line (at 0 3.81 270) (length 2.794) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -3.81 90) (length 2.794) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Switch:SW_DIP_x01" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) - (property "Reference" "SW" (at 0 3.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "SW_DIP_x01" (at 0 -3.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "dip switch" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "1x DIP Switch, Single Pole Single Throw (SPST) switch, small symbol" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "SW?DIP?x1*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "SW_DIP_x01_0_0" - (circle (center -2.032 0) (radius 0.508) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.524 0.127) - (xy 2.3622 1.1684) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (circle (center 2.032 0) (radius 0.508) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "SW_DIP_x01_0_1" - (rectangle (start -3.81 2.54) (end 3.81 -2.54) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - (symbol "SW_DIP_x01_1_1" - (pin passive line (at -7.62 0 0) (length 5.08) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 7.62 0 180) (length 5.08) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:+12V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+12V" (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "global power" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"+12V\"" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+12V_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "+12V_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+12V" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "global power" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+5V_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "+5V_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+5V" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (at 0 -6.35 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 0 -3.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "global power" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "GND_0_1" - (polyline - (pts - (xy 0 0) - (xy 0 -1.27) - (xy 1.27 -1.27) - (xy 0 -2.54) - (xy -1.27 -1.27) - (xy 0 -1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "GND_1_1" - (pin power_in line (at 0 0 270) (length 0) hide - (name "GND" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - ) - - - (no_connect (at 38.1 128.27) (uuid 4237559c-5925-4304-8596-ac27ff0aa8e2)) - - (global_label "HSync" (shape input) (at 67.31 52.07 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 13a2f72e-bfd4-4953-aee9-0019b0f7a5f8) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 58.5796 52.07 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "Video" (shape input) (at 67.31 54.61 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 7db38e22-ff54-4d75-b530-08464d8a739c) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 59.2448 54.61 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "VSync" (shape input) (at 67.31 49.53 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid c4c5c30b-1ed8-4ed5-868c-57434d39d773) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 58.8215 49.53 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - - (symbol (lib_id "power:GND") (at 45.72 123.19 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 312971d2-9bfe-4f4b-9aac-cb8b45a2a250) - (property "Reference" "#PWR072" (at 52.07 123.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 49.53 123.19 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (at 45.72 123.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 45.72 123.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 9825b2fe-3d6d-47fc-b1d0-467377c13b01)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" - (reference "#PWR072") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 74.93 135.89 270) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 57c67a9e-5880-4fa5-a975-c6fa4beb53d1) - (property "Reference" "#PWR075" (at 71.12 135.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 78.74 135.89 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 74.93 135.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 74.93 135.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid bf6ce483-c90f-4cd6-b01b-f8dbe28792b3)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" - (reference "#PWR075") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "Device:C_Polarized") (at 69.85 111.76 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 602db293-cb5f-40a6-b3a6-a7eee7a53960) - (property "Reference" "C2" (at 73.66 109.601 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "C_Polarized" (at 73.66 112.141 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 70.8152 115.57 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (at 69.85 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 46e49a82-0c4e-4d42-85e3-208cf9979071)) - (pin "2" (uuid 13bc21a3-9114-4b02-8d67-9e34342848bc)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" - (reference "C2") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+12V") (at 45.72 118.11 270) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 886334a7-f69b-4166-bdec-7d35b3e983c7) - (property "Reference" "#PWR073" (at 41.91 118.11 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+12V" (at 49.53 118.11 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 45.72 118.11 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 45.72 118.11 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 211be037-b0e2-41b2-98aa-0dbd3af43347)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" - (reference "#PWR073") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "Switch:SW_DIP_x01") (at 67.31 135.89 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid dd871248-9c4d-4e16-ab4c-21c027fe9b1e) - (property "Reference" "SW2" (at 67.31 128.27 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "SW_DIP_x01" (at 67.31 130.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 67.31 135.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (at 67.31 135.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid be71f26b-8719-47fb-a948-607c429903a8)) - (pin "2" (uuid 97a991de-fc20-4385-899d-1bd8fffdabe9)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" - (reference "SW2") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "Connector:Barrel_Jack_MountingPin") (at 38.1 120.65 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid f65baee0-9a7c-4b37-b787-70357503b1d8) - (property "Reference" "J2" (at 38.1 111.76 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "Barrel_Jack_MountingPin" (at 38.1 114.3 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Connector_BarrelJack:BarrelJack_Horizontal" (at 39.37 121.666 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (at 39.37 121.666 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid fe4388b6-734b-46c2-9acc-dcf3f65f75a7)) - (pin "2" (uuid de12dc30-a135-44c6-9640-b160833bbcd9)) - (pin "MP" (uuid 40763621-4de1-4fdb-9df9-b51844616a7d)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" - (reference "J2") (unit 1) - ) - ) - ) - ) -) +(kicad_sch + (version 20231120) + (generator "eeschema") + (generator_version "8.0") + (uuid "2e9cc28a-99d5-4284-9510-64062a6ace3b") + (paper "A4") + (title_block + (title "ʕ·ᴥ·ʔ-ports") + ) + (lib_symbols + (symbol "Connector:Barrel_Jack_MountingPin" + (pin_names hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 5.334 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Barrel_Jack_MountingPin" + (at 1.27 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 1.27 -1.016 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 1.27 -1.016 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "DC Barrel Jack with a mounting pin" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "DC power barrel jack connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "BarrelJack*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "Barrel_Jack_MountingPin_0_1" + (rectangle + (start -5.08 3.81) + (end 5.08 -3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (arc + (start -3.302 3.175) + (mid -3.9343 2.54) + (end -3.302 1.905) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -3.302 3.175) + (mid -3.9343 2.54) + (end -3.302 1.905) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (polyline + (pts + (xy 5.08 2.54) (xy 3.81 2.54) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -3.81 -2.54) (xy -2.54 -2.54) (xy -1.27 -1.27) (xy 0 -2.54) (xy 2.54 -2.54) (xy 5.08 -2.54) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 3.683 3.175) + (end -3.302 1.905) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + ) + (symbol "Barrel_Jack_MountingPin_1_1" + (polyline + (pts + (xy -1.016 -4.572) (xy 1.016 -4.572) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (text "Mounting" + (at 0 -4.191 0) + (effects + (font + (size 0.381 0.381) + ) + ) + ) + (pin passive line + (at 7.62 2.54 180) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 -2.54 180) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -7.62 90) + (length 3.048) + (name "MountPin" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "MP" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Connector:Conn_01x04_Socket" + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_01x04_Socket" + (at 0 -7.62 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x04, script generated" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "Conn_01x04_Socket_1_1" + (arc + (start 0 -4.572) + (mid -0.5058 -5.08) + (end 0 -5.588) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start 0 -2.032) + (mid -0.5058 -2.54) + (end 0 -3.048) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.27 -5.08) (xy -0.508 -5.08) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.27 -2.54) (xy -0.508 -2.54) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.27 0) (xy -0.508 0) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.27 2.54) (xy -0.508 2.54) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start 0 0.508) + (mid -0.5058 0) + (end 0 -0.508) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start 0 3.048) + (mid -0.5058 2.54) + (end 0 2.032) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (pin passive line + (at -5.08 2.54 0) + (length 3.81) + (name "Pin_1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 0 0) + (length 3.81) + (name "Pin_2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 -2.54 0) + (length 3.81) + (name "Pin_3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 -5.08 0) + (length 3.81) + (name "Pin_4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:C_Polarized" + (pin_numbers hide) + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "C" + (at 0.635 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "C_Polarized" + (at 0.635 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0.9652 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Polarized capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "cap capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "CP_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "C_Polarized_0_1" + (rectangle + (start -2.286 0.508) + (end 2.286 1.016) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.778 2.286) (xy -0.762 2.286) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.27 2.794) (xy -1.27 1.778) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 2.286 -0.508) + (end -2.286 -1.016) + (stroke + (width 0) + (type default) + ) + (fill + (type outline) + ) + ) + ) + (symbol "C_Polarized_1_1" + (pin passive line + (at 0 3.81 270) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:R" + (pin_numbers hide) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "R" + (at 2.032 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "R" + (at 0 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at -1.778 0 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "R res resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "R_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "R_0_1" + (rectangle + (start -1.016 -2.54) + (end 1.016 2.54) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "R_1_1" + (pin passive line + (at 0 3.81 270) + (length 1.27) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 1.27) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Diode:1N4002" + (pin_numbers hide) + (pin_names hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "D" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "1N4002" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Diode_THT:D_DO-41_SOD81_P10.16mm_Horizontal" + (at 0 -4.445 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.vishay.com/docs/88503/1n4001.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "100V 1A General Purpose Rectifier Diode, DO-41" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Sim.Device" "D" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "diode" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "D*DO?41*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "1N4002_0_1" + (polyline + (pts + (xy -1.27 1.27) (xy -1.27 -1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 0) (xy -1.27 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 1.27) (xy 1.27 -1.27) (xy -1.27 0) (xy 1.27 1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "1N4002_1_1" + (pin passive line + (at -3.81 0 0) + (length 2.54) + (name "K" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 3.81 0 180) + (length 2.54) + (name "A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Regulator_Linear:LM317_TO-220" + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -3.81 3.175 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "LM317_TO-220" + (at 0 3.175 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_TO_SOT_THT:TO-220-3_Vertical" + (at 0 6.35 0) + (effects + (font + (size 1.27 1.27) + (italic yes) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm317.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "1.5A 35V Adjustable Linear Regulator, TO-220" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "Adjustable Voltage Regulator 1A Positive" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "TO?220*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "LM317_TO-220_0_1" + (rectangle + (start -5.08 1.905) + (end 5.08 -5.08) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "LM317_TO-220_1_1" + (pin input line + (at 0 -7.62 90) + (length 2.54) + (name "ADJ" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 7.62 0 180) + (length 2.54) + (name "VO" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -7.62 0 0) + (length 2.54) + (name "VI" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Switch:SW_DIP_x01" + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "SW" + (at 0 3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "SW_DIP_x01" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "1x DIP Switch, Single Pole Single Throw (SPST) switch, small symbol" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "dip switch" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "SW?DIP?x1*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "SW_DIP_x01_0_0" + (circle + (center -2.032 0) + (radius 0.508) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.524 0.127) (xy 2.3622 1.1684) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 2.032 0) + (radius 0.508) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "SW_DIP_x01_0_1" + (rectangle + (start -3.81 2.54) + (end 3.81 -2.54) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "SW_DIP_x01_1_1" + (pin passive line + (at -7.62 0 0) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 0 180) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:+12V" + (power) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+12V" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+12V\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "+12V_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+12V_1_1" + (pin power_in line + (at 0 0 90) + (length 0) hide + (name "+12V" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:+5V" + (power) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+5V_1_1" + (pin power_in line + (at 0 0 90) + (length 0) hide + (name "+5V" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:GND" + (power) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_1_1" + (pin power_in line + (at 0 0 270) + (length 0) hide + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + ) + (junction + (at 127 125.73) + (diameter 0) + (color 0 0 0 0) + (uuid "00541a83-0aff-415c-ad8e-a20f39381e78") + ) + (junction + (at 142.24 125.73) + (diameter 0) + (color 0 0 0 0) + (uuid "294cbce2-78c8-46a2-8250-499317526625") + ) + (junction + (at 160.02 105.41) + (diameter 0) + (color 0 0 0 0) + (uuid "6b376fd4-8556-42e0-89e1-339019597b2c") + ) + (junction + (at 110.49 105.41) + (diameter 0) + (color 0 0 0 0) + (uuid "793b0ec8-3c8b-4572-ae9f-b0ac3ddb9537") + ) + (junction + (at 110.49 125.73) + (diameter 0) + (color 0 0 0 0) + (uuid "8a950fc9-0e7f-422d-a9e8-6dd65624df1c") + ) + (junction + (at 127 115.57) + (diameter 0) + (color 0 0 0 0) + (uuid "964c0839-8f4f-4a65-911c-a582a237fbed") + ) + (junction + (at 142.24 105.41) + (diameter 0) + (color 0 0 0 0) + (uuid "9dfc40bd-1071-446d-a10c-782d3a172169") + ) + (junction + (at 142.24 115.57) + (diameter 0) + (color 0 0 0 0) + (uuid "c2b80dc4-f646-4b5d-9cfc-503f3b74dcbd") + ) + (junction + (at 153.67 105.41) + (diameter 0) + (color 0 0 0 0) + (uuid "f9f261c3-6bac-47cd-a263-b9e1abb91acc") + ) + (no_connect + (at 38.1 128.27) + (uuid "4237559c-5925-4304-8596-ac27ff0aa8e2") + ) + (wire + (pts + (xy 142.24 105.41) (xy 142.24 106.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0f4484cb-a978-4d7b-80ed-f9c947703931") + ) + (wire + (pts + (xy 110.49 105.41) (xy 119.38 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0f5e929d-6eea-4090-b7fa-dba294becd5c") + ) + (wire + (pts + (xy 127 124.46) (xy 127 125.73) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1052ea43-82f3-4a98-8229-7465c9de8224") + ) + (wire + (pts + (xy 153.67 114.3) (xy 153.67 115.57) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1b1b0f61-0b77-4447-8aa2-30c2efdf2f7d") + ) + (wire + (pts + (xy 160.02 119.38) (xy 160.02 125.73) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2322d244-8afd-4433-bd14-5bc5ef8f4535") + ) + (wire + (pts + (xy 142.24 115.57) (xy 127 115.57) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2e66a2ae-6e5d-4738-af5e-632773918ec4") + ) + (wire + (pts + (xy 127 115.57) (xy 127 116.84) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3ee010c0-3bef-4d87-be40-f5fd98283ee5") + ) + (wire + (pts + (xy 160.02 111.76) (xy 160.02 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "48802696-889b-49d5-b93c-e6c6f2bff6ad") + ) + (wire + (pts + (xy 127 115.57) (xy 127 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "494a61b9-fec0-4a6e-8a70-21221893550b") + ) + (wire + (pts + (xy 101.6 105.41) (xy 110.49 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4d8bf056-a06c-46ce-9a0e-f097f8712d9f") + ) + (wire + (pts + (xy 110.49 125.73) (xy 127 125.73) + ) + (stroke + (width 0) + (type default) + ) + (uuid "52e253f0-1392-4421-ab6a-468540d27781") + ) + (wire + (pts + (xy 142.24 114.3) (xy 142.24 115.57) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5feefe42-17de-4881-9e0d-96b851347823") + ) + (wire + (pts + (xy 160.02 105.41) (xy 168.91 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "68f68522-c18d-4027-8ef7-9593dc616f1b") + ) + (wire + (pts + (xy 153.67 105.41) (xy 160.02 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6ea4c236-6a42-4704-add6-cab0782e3ef3") + ) + (wire + (pts + (xy 110.49 105.41) (xy 110.49 111.76) + ) + (stroke + (width 0) + (type default) + ) + (uuid "71b6e886-3b4e-4b92-bd2f-336c58688d30") + ) + (wire + (pts + (xy 142.24 115.57) (xy 142.24 116.84) + ) + (stroke + (width 0) + (type default) + ) + (uuid "75d88842-7f59-43b9-b467-53d1748fdd4e") + ) + (wire + (pts + (xy 110.49 119.38) (xy 110.49 125.73) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8c328110-caa4-4f9e-ae85-c90a5d2b2b47") + ) + (wire + (pts + (xy 142.24 124.46) (xy 142.24 125.73) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9238b298-7569-46fc-8716-bd7aebe72244") + ) + (wire + (pts + (xy 134.62 105.41) (xy 142.24 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9e2a4cab-030e-49dc-8ab7-a5002ab87bec") + ) + (wire + (pts + (xy 153.67 105.41) (xy 153.67 106.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a9f45b80-0ead-43fe-9ae0-a50c8141ec15") + ) + (wire + (pts + (xy 127 125.73) (xy 142.24 125.73) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c9f450d2-adbf-4486-8fb1-9aba04e324d3") + ) + (wire + (pts + (xy 142.24 105.41) (xy 153.67 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e7a70d9a-4be1-43d4-9a41-703367dae6e6") + ) + (wire + (pts + (xy 142.24 125.73) (xy 160.02 125.73) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ef9a00ee-5b89-4d28-ad76-d249e2c35eca") + ) + (wire + (pts + (xy 142.24 115.57) (xy 153.67 115.57) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fd7c399b-4fcf-48d2-9d69-400d99b09d60") + ) + (global_label "HSync" + (shape input) + (at 67.31 54.61 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "13a2f72e-bfd4-4953-aee9-0019b0f7a5f8") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 58.5796 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "Video" + (shape input) + (at 67.31 57.15 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "7db38e22-ff54-4d75-b530-08464d8a739c") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 59.2448 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "VSync" + (shape input) + (at 67.31 52.07 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "c4c5c30b-1ed8-4ed5-868c-57434d39d773") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 58.8215 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (symbol + (lib_id "Regulator_Linear:LM317_TO-220") + (at 127 105.41 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "05e90019-ec9a-403c-ae01-ad5f41d8dd5e") + (property "Reference" "U26" + (at 127 99.06 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "LM317_TO-220" + (at 127 101.6 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_THT:TO-220-3_Vertical" + (at 127 99.06 0) + (effects + (font + (size 1.27 1.27) + (italic yes) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm317.pdf" + (at 127 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 127 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "94489b70-d819-43e7-81e6-0332c143d9c3") + ) + (pin "2" + (uuid "5bb269c5-87ea-4089-88b1-5446d4b6daf2") + ) + (pin "3" + (uuid "dc6eb5eb-7dc3-462f-aa83-c33a7d69cbdf") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "U26") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:Conn_01x04_Socket") + (at 72.39 52.07 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "110f7362-e626-4809-8d10-71153af82697") + (property "Reference" "J3" + (at 73.66 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "Conn_01x04_Socket" + (at 73.66 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_1x04_P2.54mm_Vertical" + (at 72.39 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 72.39 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 72.39 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "08342700-e0b0-490e-ac0d-a1ecfe4b14b5") + ) + (pin "2" + (uuid "ceb9e37c-cca7-4265-bc8b-51145ac39e61") + ) + (pin "3" + (uuid "2625eefb-785c-4c6e-841b-24c3cdbc59e0") + ) + (pin "4" + (uuid "6ed6a2d9-e242-4d0e-bc5e-a57ff6e8daf7") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "J3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 45.72 123.19 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "312971d2-9bfe-4f4b-9aac-cb8b45a2a250") + (property "Reference" "#PWR072" + (at 52.07 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 49.53 123.19 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 45.72 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 45.72 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 45.72 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9825b2fe-3d6d-47fc-b1d0-467377c13b01") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "#PWR072") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 184.15 105.41 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "57c67a9e-5880-4fa5-a975-c6fa4beb53d1") + (property "Reference" "#PWR075" + (at 180.34 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 187.96 105.41 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 184.15 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 184.15 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 184.15 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "bf6ce483-c90f-4cd6-b01b-f8dbe28792b3") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "#PWR075") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Polarized") + (at 127 120.65 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "59abbc76-d6a0-4fd0-8c5a-9247335f0edc") + (property "Reference" "C27" + (at 130.81 118.491 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "10uF" + (at 130.81 121.031 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:CP_Radial_D5.0mm_P2.00mm" + (at 127.9652 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 127 120.65 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 127 120.65 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "3d9ed5f7-721d-4eff-ac4e-245299abf7e1") + ) + (pin "2" + (uuid "200408a8-0099-4ac8-aedc-9b2d810d9256") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "C27") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Polarized") + (at 110.49 115.57 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "64ee1e28-d1a8-4f2b-bbd2-c49ee578f1d6") + (property "Reference" "C26" + (at 114.3 113.411 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "0.1uF" + (at 114.3 115.951 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:CP_Radial_D5.0mm_P2.00mm" + (at 111.4552 119.38 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 110.49 115.57 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 110.49 115.57 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "84d793c0-febf-4b04-8e4e-bc4e94639f9b") + ) + (pin "2" + (uuid "98742b2e-f2a4-4620-a464-3f257379b454") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "C26") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+12V") + (at 67.31 49.53 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7cf5a094-711e-4dff-8f43-a2f52f3e08ce") + (property "Reference" "#PWR0112" + (at 71.12 49.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+12V" + (at 63.5 49.53 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 67.31 49.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 67.31 49.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 67.31 49.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c8068871-0708-4477-b128-778bbe26ffe0") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "#PWR0112") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 142.24 120.65 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "85aeddec-a88b-412f-ab53-7a72159df8e5") + (property "Reference" "R10" + (at 144.78 119.38 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "4.7k" + (at 144.78 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal" + (at 140.462 120.65 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 142.24 120.65 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 142.24 120.65 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b728e3e3-2d89-4772-819c-7c7d94e978a0") + ) + (pin "2" + (uuid "ceb64061-faff-49d8-bbaa-39ede46b31af") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "R10") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+12V") + (at 45.72 118.11 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "886334a7-f69b-4166-bdec-7d35b3e983c7") + (property "Reference" "#PWR073" + (at 41.91 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+12V" + (at 49.53 118.11 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 45.72 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 45.72 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 45.72 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "211be037-b0e2-41b2-98aa-0dbd3af43347") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "#PWR073") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Diode:1N4002") + (at 153.67 110.49 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "8eae59e5-b945-4727-b690-ba9f7df94f83") + (property "Reference" "D2" + (at 156.21 109.22 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1N4002" + (at 156.21 111.76 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Diode_THT:D_DO-41_SOD81_P10.16mm_Horizontal" + (at 149.225 110.49 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.vishay.com/docs/88503/1n4001.pdf" + (at 153.67 110.49 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 153.67 110.49 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Sim.Device" "D" + (at 153.67 110.49 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 153.67 110.49 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "a43091a8-ea8a-4f99-b220-1df28b7c0513") + ) + (pin "2" + (uuid "6c8a64b3-686d-46e7-b351-32f440380b35") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "D2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 110.49 125.73 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c1bb5a1f-436c-4ac2-9aea-18afe0d049bf") + (property "Reference" "#PWR0110" + (at 110.49 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 110.49 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 110.49 125.73 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 110.49 125.73 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 110.49 125.73 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "590e8e1c-cd68-4558-8625-48eec8db74f2") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "#PWR0110") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 142.24 110.49 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "d0c941e2-7c68-4372-9e14-2c68a4053ab2") + (property "Reference" "R9" + (at 144.78 109.22 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1.6k" + (at 144.78 111.76 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal" + (at 140.462 110.49 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 142.24 110.49 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 142.24 110.49 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "78b3ba26-c253-4951-a22c-ad1121e55c4f") + ) + (pin "2" + (uuid "d38a0398-fccd-4874-be83-34f9bc491fb1") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "R9") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Switch:SW_DIP_x01") + (at 176.53 105.41 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "dd871248-9c4d-4e16-ab4c-21c027fe9b1e") + (property "Reference" "SW2" + (at 176.53 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "SW_DIP_x01" + (at 176.53 100.33 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 176.53 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 176.53 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 176.53 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "be71f26b-8719-47fb-a948-607c429903a8") + ) + (pin "2" + (uuid "97a991de-fc20-4385-899d-1bd8fffdabe9") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "SW2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+12V") + (at 101.6 105.41 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f0fba1c7-ec43-4272-91bc-506090ccb9f2") + (property "Reference" "#PWR0109" + (at 105.41 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+12V" + (at 97.79 105.41 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 101.6 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 101.6 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 101.6 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "20f79bf0-9cdf-4769-8138-5a0ac563b285") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "#PWR0109") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Polarized") + (at 160.02 115.57 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f32c9fe9-965a-44e9-8b4e-767e50dd01c8") + (property "Reference" "C25" + (at 163.83 113.411 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1uF" + (at 163.83 115.951 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:CP_Radial_D5.0mm_P2.00mm" + (at 160.9852 119.38 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 160.02 115.57 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 160.02 115.57 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "50a4041c-5936-4ffd-a837-68be5e532cbc") + ) + (pin "2" + (uuid "7308c3c7-b716-481e-bd3f-ecd04648b3c5") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "C25") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:Barrel_Jack_MountingPin") + (at 38.1 120.65 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f65baee0-9a7c-4b37-b787-70357503b1d8") + (property "Reference" "J2" + (at 38.1 111.76 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Barrel_Jack_MountingPin" + (at 38.1 114.3 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_BarrelJack:BarrelJack_Horizontal" + (at 39.37 121.666 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 39.37 121.666 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 38.1 120.65 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "fe4388b6-734b-46c2-9acc-dcf3f65f75a7") + ) + (pin "2" + (uuid "de12dc30-a135-44c6-9640-b160833bbcd9") + ) + (pin "MP" + (uuid "40763621-4de1-4fdb-9df9-b51844616a7d") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/7617c470-a3e3-49ab-aa7b-e191ba11c812" + (reference "J2") + (unit 1) + ) + ) + ) + ) +) \ No newline at end of file diff --git a/test/vga/vga-backups/vga-2024-04-17_163238.zip b/test/vga/vga-backups/vga-2024-04-17_163238.zip new file mode 100644 index 0000000..64ce328 Binary files /dev/null and b/test/vga/vga-backups/vga-2024-04-17_163238.zip differ diff --git a/test/vga/vga-backups/vga-2024-04-17_172429.zip b/test/vga/vga-backups/vga-2024-04-17_172429.zip new file mode 100644 index 0000000..d7b82f6 Binary files /dev/null and b/test/vga/vga-backups/vga-2024-04-17_172429.zip differ diff --git a/test/vga/vga-backups/vga-2024-04-17_190131.zip b/test/vga/vga-backups/vga-2024-04-17_190131.zip new file mode 100644 index 0000000..7bf4548 Binary files /dev/null and b/test/vga/vga-backups/vga-2024-04-17_190131.zip differ diff --git a/test/vga/vga-backups/vga-2024-04-17_220720.zip b/test/vga/vga-backups/vga-2024-04-17_220720.zip new file mode 100644 index 0000000..a6bc54d Binary files /dev/null and b/test/vga/vga-backups/vga-2024-04-17_220720.zip differ diff --git a/test/vga/vga-backups/vga-2024-04-18_010538.zip b/test/vga/vga-backups/vga-2024-04-18_010538.zip new file mode 100644 index 0000000..9ac1b16 Binary files /dev/null and b/test/vga/vga-backups/vga-2024-04-18_010538.zip differ diff --git a/test/vga/vga.kicad_pcb b/test/vga/vga.kicad_pcb new file mode 100644 index 0000000..e88401e --- /dev/null +++ b/test/vga/vga.kicad_pcb @@ -0,0 +1,4196 @@ +(kicad_pcb + (version 20240108) + (generator "pcbnew") + (generator_version "8.0") + (general + (thickness 1.6) + (legacy_teardrops no) + ) + (paper "A4") + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + (50 "User.1" user) + (51 "User.2" user) + (52 "User.3" user) + (53 "User.4" user) + (54 "User.5" user) + (55 "User.6" user) + (56 "User.7" user) + (57 "User.8" user) + (58 "User.9" user) + ) + (setup + (pad_to_mask_clearance 0) + (allow_soldermask_bridges_in_footprints no) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros no) + (usegerberextensions no) + (usegerberattributes yes) + (usegerberadvancedattributes yes) + (creategerberjobfile yes) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 4) + (plotframeref no) + (viasonmask no) + (mode 1) + (useauxorigin no) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (pdf_front_fp_property_popups yes) + (pdf_back_fp_property_popups yes) + (dxfpolygonmode yes) + (dxfimperialunits yes) + (dxfusepcbnewfont yes) + (psnegative no) + (psa4output no) + (plotreference yes) + (plotvalue yes) + (plotfptext yes) + (plotinvisibletext no) + (sketchpadsonfab no) + (subtractmaskfromsilk no) + (outputformat 1) + (mirror no) + (drillshape 1) + (scaleselection 1) + (outputdirectory "") + ) + ) + (net 0 "") + (net 1 "/A3") + (net 2 "/A14") + (net 3 "/A12") + (net 4 "/A13") + (net 5 "/A1") + (net 6 "unconnected-(U1-~{VP}-Pad1)") + (net 7 "/A9") + (net 8 "unconnected-(U1-ϕ2-Pad39)") + (net 9 "/2M") + (net 10 "/A8") + (net 11 "+5V") + (net 12 "/A6") + (net 13 "/D2") + (net 14 "/D1") + (net 15 "unconnected-(U1-SYNC-Pad7)") + (net 16 "/D3") + (net 17 "/~{RESET}") + (net 18 "unconnected-(U1-nc-Pad35)") + (net 19 "/D7") + (net 20 "/A4") + (net 21 "/A7") + (net 22 "GND") + (net 23 "/A5") + (net 24 "/D4") + (net 25 "/D0") + (net 26 "unconnected-(U1-ϕ1-Pad3)") + (net 27 "/A2") + (net 28 "/D5") + (net 29 "/A0") + (net 30 "/A11") + (net 31 "/A10") + (net 32 "/R{slash}~{W}") + (net 33 "/D6") + (net 34 "/A15") + (net 35 "unconnected-(U1-~{ML}-Pad5)") + (net 36 "/HSYNC") + (net 37 "/VSYNC") + (net 38 "unconnected-(U2-MA13-Pad17)") + (net 39 "Net-(U2-MA10)") + (net 40 "Net-(U2-MA3)") + (net 41 "Net-(U2-RA1)") + (net 42 "Net-(U2-~{CS})") + (net 43 "unconnected-(U2-MA11-Pad15)") + (net 44 "Net-(U2-MA4)") + (net 45 "Net-(U2-MA9)") + (net 46 "Net-(U2-MA6)") + (net 47 "/DE") + (net 48 "Net-(U2-MA1)") + (net 49 "Net-(U2-MA0)") + (net 50 "unconnected-(U2-CURSOR-Pad19)") + (net 51 "unconnected-(U2-RA4-Pad34)") + (net 52 "Net-(U2-MA5)") + (net 53 "Net-(U2-RA3)") + (net 54 "Net-(U2-MA8)") + (net 55 "Net-(U2-RA0)") + (net 56 "Net-(U2-RA2)") + (net 57 "unconnected-(U2-MA12-Pad16)") + (net 58 "Net-(U2-MA2)") + (net 59 "Net-(U2-MA7)") + (net 60 "Net-(U3-D2)") + (net 61 "Net-(U3-D4)") + (net 62 "Net-(U3-D6)") + (net 63 "Net-(U3-D0)") + (net 64 "Net-(U3-D5)") + (net 65 "Net-(U3-D7)") + (net 66 "Net-(U3-D3)") + (net 67 "Net-(U3-D1)") + (net 68 "unconnected-(X1-EN-Pad1)") + (net 69 "/16M") + (net 70 "/~{DE}") + (net 71 "Net-(U5-Q7)") + (net 72 "unconnected-(U5-~{Q7}-Pad7)") + (net 73 "unconnected-(U5-DS-Pad10)") + (net 74 "/4M") + (net 75 "/1M") + (net 76 "/8M") + (footprint "Package_DIP:DIP-28_W15.24mm" + (layer "F.Cu") + (uuid "294ea374-8306-418b-a5b4-1c5e831dd5bb") + (at 131.85 19.65) + (descr "28-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils)") + (tags "THT DIP DIL PDIP 2.54mm 15.24mm 600mil") + (property "Reference" "U3" + (at 7.62 -2.33 0) + (layer "F.SilkS") + (uuid "338112b8-1630-4db8-936e-fc44db2a68f1") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "28C256" + (at 7.62 35.35 0) + (layer "F.Fab") + (uuid "7ed23ed5-1f4f-4667-9999-c3c48d6fc187") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-28_W15.24mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3aac6603-2a36-4989-93f7-03ef0bdd5b24") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "90401e5f-b1f8-4d0c-a04a-0d8736cd1918") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Paged Parallel EEPROM 256Kb (32K x 8), DIP-28/SOIC-28" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5939a603-d9e1-4bb8-902c-ddb38aca43a3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "DIP*W15.24mm* SOIC*7.5x17.9mm*P1.27mm*") + (path "/ca901f7b-4a72-4d58-995a-e58a71f8d74b") + (sheetname "Root") + (sheetfile "vga.kicad_sch") + (attr through_hole) + (fp_line + (start 1.16 -1.33) + (end 1.16 34.35) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3c061fd6-4904-4bd7-9fa1-f1e6680b1595") + ) + (fp_line + (start 1.16 34.35) + (end 14.08 34.35) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "361b423f-fc40-4a17-a4ef-3d48bb56e422") + ) + (fp_line + (start 6.62 -1.33) + (end 1.16 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c2362c54-f3bf-4f6c-ab73-8630317efb40") + ) + (fp_line + (start 14.08 -1.33) + (end 8.62 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c7d30fb6-8499-41ff-bb0a-69434b736896") + ) + (fp_line + (start 14.08 34.35) + (end 14.08 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0bdbfa9a-fc25-40e8-9fc4-9f6d65511eac") + ) + (fp_arc + (start 8.62 -1.33) + (mid 7.62 -0.33) + (end 6.62 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e2501688-39a3-41b4-b0e6-d60a120db3c8") + ) + (fp_line + (start -1.05 -1.55) + (end -1.05 34.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "371c1b50-b574-477d-867a-74b6a831d336") + ) + (fp_line + (start -1.05 34.55) + (end 16.3 34.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "fc5c88b6-cc5e-412b-a361-760ee0a972d1") + ) + (fp_line + (start 16.3 -1.55) + (end -1.05 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c05ae5f6-35bf-4555-9980-9b02ee190ac7") + ) + (fp_line + (start 16.3 34.55) + (end 16.3 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "28a21e5b-3ac8-4d67-9edb-5dedd9a8f698") + ) + (fp_line + (start 0.255 -0.27) + (end 1.255 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8672046e-c831-498a-808c-1179a02afa2d") + ) + (fp_line + (start 0.255 34.29) + (end 0.255 -0.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9b603e94-98f9-42a7-80c1-39c237624bd4") + ) + (fp_line + (start 1.255 -1.27) + (end 14.985 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "95b6d8d6-3c36-43df-bf3f-6c7f9bad0e78") + ) + (fp_line + (start 14.985 -1.27) + (end 14.985 34.29) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d718e652-4aff-4aef-8ca5-dab8a4ddc706") + ) + (fp_line + (start 14.985 34.29) + (end 0.255 34.29) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8b7ec5f4-6a06-42c6-bbdd-6eb9a7dca16a") + ) + (fp_text user "${REFERENCE}" + (at 7.62 16.51 0) + (layer "F.Fab") + (uuid "0be46907-7c4d-4577-8dc9-6299456a1e60") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "c85f2a7f-7f59-4b5b-9b7a-b3dfd8941ced") + ) + (pad "2" thru_hole oval + (at 0 2.54) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 53 "Net-(U2-RA3)") + (pinfunction "A14") + (pintype "input") + (uuid "58c3b2d3-493b-4a88-8c92-392ed66b126b") + ) + (pad "3" thru_hole oval + (at 0 5.08) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 41 "Net-(U2-RA1)") + (pinfunction "A12") + (pintype "input") + (uuid "c964417f-a84b-40b7-8243-2ee63b84c9b7") + ) + (pad "4" thru_hole oval + (at 0 7.62) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 59 "Net-(U2-MA7)") + (pinfunction "A7") + (pintype "input") + (uuid "a92c3498-c652-4984-a491-9354fa88ac01") + ) + (pad "5" thru_hole oval + (at 0 10.16) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 46 "Net-(U2-MA6)") + (pinfunction "A6") + (pintype "input") + (uuid "9967feec-ea55-4793-becf-d1f5fc1ace40") + ) + (pad "6" thru_hole oval + (at 0 12.7) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 52 "Net-(U2-MA5)") + (pinfunction "A5") + (pintype "input") + (uuid "56c636dd-4e41-4d7e-a418-7c10b9f2a840") + ) + (pad "7" thru_hole oval + (at 0 15.24) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 44 "Net-(U2-MA4)") + (pinfunction "A4") + (pintype "input") + (uuid "40349f1a-d188-41ec-9349-68943e208bdf") + ) + (pad "8" thru_hole oval + (at 0 17.78) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 40 "Net-(U2-MA3)") + (pinfunction "A3") + (pintype "input") + (uuid "78546945-3e4e-4a58-93d0-79a356713af8") + ) + (pad "9" thru_hole oval + (at 0 20.32) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 58 "Net-(U2-MA2)") + (pinfunction "A2") + (pintype "input") + (uuid "783f9821-aee8-4f77-ae1c-8357a383b93c") + ) + (pad "10" thru_hole oval + (at 0 22.86) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 48 "Net-(U2-MA1)") + (pinfunction "A1") + (pintype "input") + (uuid "783ad560-e209-4bcf-b85e-2fe6692d8f45") + ) + (pad "11" thru_hole oval + (at 0 25.4) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 49 "Net-(U2-MA0)") + (pinfunction "A0") + (pintype "input") + (uuid "0a63caea-a080-4f0c-9ceb-ced9f01ff867") + ) + (pad "12" thru_hole oval + (at 0 27.94) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "eff4e80c-eec7-4be1-b6fc-c43dc75c75a1") + ) + (pad "13" thru_hole oval + (at 0 30.48) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 63 "Net-(U3-D0)") + (pinfunction "D0") + (pintype "tri_state") + (uuid "a521c062-f465-4db0-aaca-eb0411c4e588") + ) + (pad "14" thru_hole oval + (at 0 33.02) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 67 "Net-(U3-D1)") + (pinfunction "D1") + (pintype "tri_state") + (uuid "a6d69dd2-1cfd-4fa3-9619-358f108ef867") + ) + (pad "15" thru_hole oval + (at 15.24 33.02) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 60 "Net-(U3-D2)") + (pinfunction "D2") + (pintype "tri_state") + (uuid "4536dcea-ca40-45e0-85ef-d937b48e30c9") + ) + (pad "16" thru_hole oval + (at 15.24 30.48) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "d092f02d-3ca3-4e46-9a39-b3cc52f566fe") + ) + (pad "17" thru_hole oval + (at 15.24 27.94) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "ea28ef64-3827-4db2-8b0f-7fca1e9b3fee") + ) + (pad "18" thru_hole oval + (at 15.24 25.4) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 66 "Net-(U3-D3)") + (pinfunction "D3") + (pintype "tri_state") + (uuid "77391039-4492-40a6-82fd-d99bd7d34856") + ) + (pad "19" thru_hole oval + (at 15.24 22.86) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 61 "Net-(U3-D4)") + (pinfunction "D4") + (pintype "tri_state") + (uuid "0a395dbd-6a39-41a7-8cae-5bb0348f0772") + ) + (pad "20" thru_hole oval + (at 15.24 20.32) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 64 "Net-(U3-D5)") + (pinfunction "D5") + (pintype "tri_state") + (uuid "e67d55dc-3a25-40fa-aa93-7f71ce80e770") + ) + (pad "21" thru_hole oval + (at 15.24 17.78) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 62 "Net-(U3-D6)") + (pinfunction "D6") + (pintype "tri_state") + (uuid "80ed9cb6-f9f7-419f-b627-4857c27a4a1a") + ) + (pad "22" thru_hole oval + (at 15.24 15.24) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 65 "Net-(U3-D7)") + (pinfunction "D7") + (pintype "tri_state") + (uuid "a1b38475-4050-4c35-bcfc-fbd5fed6ae2f") + ) + (pad "23" thru_hole oval + (at 15.24 12.7) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "GND") + (pinfunction "~{CS}") + (pintype "input") + (uuid "9b285b9b-4a94-420f-9800-d5a001332a7d") + ) + (pad "24" thru_hole oval + (at 15.24 10.16) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 39 "Net-(U2-MA10)") + (pinfunction "A10") + (pintype "input") + (uuid "0699803f-c599-4b37-a7d7-4f3534d8437a") + ) + (pad "25" thru_hole oval + (at 15.24 7.62) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "GND") + (pinfunction "~{OE}") + (pintype "input") + (uuid "60b0a347-2a77-4377-888d-2a94f3926aa6") + ) + (pad "26" thru_hole oval + (at 15.24 5.08) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "d6e61d1c-596b-4c03-b5dc-fe707d95ed69") + ) + (pad "27" thru_hole oval + (at 15.24 2.54) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 55 "Net-(U2-RA0)") + (pinfunction "A11") + (pintype "input") + (uuid "cf9bff7d-8732-4918-a183-14f371bdd2c8") + ) + (pad "28" thru_hole oval + (at 15.24 0) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 45 "Net-(U2-MA9)") + (pinfunction "A9") + (pintype "input") + (uuid "7eb98758-9471-494e-8f66-81df5b13e655") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_DIP.3dshapes/DIP-28_W15.24mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_DIP:DIP-28_W15.24mm" + (layer "F.Cu") + (uuid "85800102-d051-4b43-9c0e-f2b4ebe0a2c6") + (at 96.37 19.96) + (descr "28-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils)") + (tags "THT DIP DIL PDIP 2.54mm 15.24mm 600mil") + (property "Reference" "U4" + (at 7.62 -2.33 0) + (layer "F.SilkS") + (uuid "1210e3f1-91a0-4052-9951-aeb2bd4815c5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "28C256" + (at 7.62 35.35 0) + (layer "F.Fab") + (uuid "f1daf994-4d91-4e8a-9613-505dcc678a8f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-28_W15.24mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1512385a-6515-41d3-bfe7-87248ee7867a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e50fddd0-9c02-47dd-a0d2-d5dfc2fb0cee") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Paged Parallel EEPROM 256Kb (32K x 8), DIP-28/SOIC-28" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "69097750-6270-4dee-9280-39c4f921f59d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "DIP*W15.24mm* SOIC*7.5x17.9mm*P1.27mm*") + (path "/265ce48d-4fa1-4455-81e9-1b7815577137") + (sheetname "Root") + (sheetfile "vga.kicad_sch") + (attr through_hole) + (fp_line + (start 1.16 -1.33) + (end 1.16 34.35) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "79c639fe-7bde-4106-ab11-6b5a1f22e519") + ) + (fp_line + (start 1.16 34.35) + (end 14.08 34.35) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fc102eb8-df46-48ce-aab5-5c8948bc0636") + ) + (fp_line + (start 6.62 -1.33) + (end 1.16 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "90750039-7d9c-4b9b-88cc-af9e724b3f90") + ) + (fp_line + (start 14.08 -1.33) + (end 8.62 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fec4e7ea-f7a5-46c9-ba06-c16644bce338") + ) + (fp_line + (start 14.08 34.35) + (end 14.08 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "74580db9-44b2-4ebd-b23f-d424f44b3393") + ) + (fp_arc + (start 8.62 -1.33) + (mid 7.62 -0.33) + (end 6.62 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "69fe6d39-3367-44be-90df-ea1f7b71bf84") + ) + (fp_line + (start -1.05 -1.55) + (end -1.05 34.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a3250975-cd20-4fd6-8239-017b5d24a7da") + ) + (fp_line + (start -1.05 34.55) + (end 16.3 34.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3e3c0d6d-2b8e-43d1-a6b6-3588bd254dd4") + ) + (fp_line + (start 16.3 -1.55) + (end -1.05 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "95df8e6b-5398-42e1-b709-93d5a1aa69a9") + ) + (fp_line + (start 16.3 34.55) + (end 16.3 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "edfb7aad-f42d-4ef7-8578-1b0800d2af9b") + ) + (fp_line + (start 0.255 -0.27) + (end 1.255 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ed34e0ea-650c-409f-a732-6f2bbef03f22") + ) + (fp_line + (start 0.255 34.29) + (end 0.255 -0.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "106dada6-4acf-473d-8db9-d91152880ae7") + ) + (fp_line + (start 1.255 -1.27) + (end 14.985 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b57e08f6-803c-4bed-9d44-9c6b05e0c909") + ) + (fp_line + (start 14.985 -1.27) + (end 14.985 34.29) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "52a4b9c2-1ca4-46ca-8974-985c277bcfe9") + ) + (fp_line + (start 14.985 34.29) + (end 0.255 34.29) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d2ab965c-bcf8-4461-b582-ce05202ce588") + ) + (fp_text user "${REFERENCE}" + (at 7.62 16.51 0) + (layer "F.Fab") + (uuid "a49e88e1-4f9b-4f04-ae29-3adad477235b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "/A14") + (pinfunction "A14") + (pintype "input") + (uuid "59d872ff-8a35-4f7c-a2d2-efeb74fbe6fa") + ) + (pad "2" thru_hole oval + (at 0 2.54) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "/A12") + (pinfunction "A12") + (pintype "input") + (uuid "f94cad69-8665-4d99-85ff-0720c02c6c67") + ) + (pad "3" thru_hole oval + (at 0 5.08) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 21 "/A7") + (pinfunction "A7") + (pintype "input") + (uuid "ab6ff515-39df-4497-a05b-be1ea5635b50") + ) + (pad "4" thru_hole oval + (at 0 7.62) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 12 "/A6") + (pinfunction "A6") + (pintype "input") + (uuid "cae0e690-744d-483f-8158-1e098fc597ef") + ) + (pad "5" thru_hole oval + (at 0 10.16) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 23 "/A5") + (pinfunction "A5") + (pintype "input") + (uuid "fc6798cb-57c6-4621-806a-dc780b878eb0") + ) + (pad "6" thru_hole oval + (at 0 12.7) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 20 "/A4") + (pinfunction "A4") + (pintype "input") + (uuid "5af9bf1d-38cc-4120-a479-2f1abe4fccaa") + ) + (pad "7" thru_hole oval + (at 0 15.24) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "/A3") + (pinfunction "A3") + (pintype "input") + (uuid "28291905-a9a5-41d2-9b77-d52061bd8204") + ) + (pad "8" thru_hole oval + (at 0 17.78) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 27 "/A2") + (pinfunction "A2") + (pintype "input") + (uuid "b8020dd2-5a9d-4fec-9080-63d7ccbbd9c6") + ) + (pad "9" thru_hole oval + (at 0 20.32) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 5 "/A1") + (pinfunction "A1") + (pintype "input") + (uuid "912b7142-4b24-42d7-af83-b26be754e48c") + ) + (pad "10" thru_hole oval + (at 0 22.86) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 29 "/A0") + (pinfunction "A0") + (pintype "input") + (uuid "b5478758-1741-43d4-b629-16f84f43081f") + ) + (pad "11" thru_hole oval + (at 0 25.4) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 25 "/D0") + (pinfunction "D0") + (pintype "tri_state") + (uuid "a82c5c5a-fccd-42a1-b44b-6ef1d333c91a") + ) + (pad "12" thru_hole oval + (at 0 27.94) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 14 "/D1") + (pinfunction "D1") + (pintype "tri_state") + (uuid "7d91ffab-c16d-4ced-99e8-f5acc389c9e6") + ) + (pad "13" thru_hole oval + (at 0 30.48) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 13 "/D2") + (pinfunction "D2") + (pintype "tri_state") + (uuid "7927da0f-4a6d-4a50-a14a-14b461a34ab0") + ) + (pad "14" thru_hole oval + (at 0 33.02) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "134601d5-5f87-4d29-ac8c-72a39ce90308") + ) + (pad "15" thru_hole oval + (at 15.24 33.02) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 16 "/D3") + (pinfunction "D3") + (pintype "tri_state") + (uuid "a9c46ca9-6dbd-405a-aad7-170d71cbd6a9") + ) + (pad "16" thru_hole oval + (at 15.24 30.48) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 24 "/D4") + (pinfunction "D4") + (pintype "tri_state") + (uuid "3c74bb96-b952-476e-9933-8bd115550b80") + ) + (pad "17" thru_hole oval + (at 15.24 27.94) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 28 "/D5") + (pinfunction "D5") + (pintype "tri_state") + (uuid "7d38d664-eed5-4608-a39f-c847f3eaffb8") + ) + (pad "18" thru_hole oval + (at 15.24 25.4) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 33 "/D6") + (pinfunction "D6") + (pintype "tri_state") + (uuid "a49376bd-0373-4054-967c-9a1d3f38cca8") + ) + (pad "19" thru_hole oval + (at 15.24 22.86) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 19 "/D7") + (pinfunction "D7") + (pintype "tri_state") + (uuid "fa4edf68-eb82-4619-8a29-385625803655") + ) + (pad "20" thru_hole oval + (at 15.24 20.32) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 34 "/A15") + (pinfunction "~{CS}") + (pintype "input") + (uuid "6bf051c4-c5e7-416a-9eff-47c79785f0b9") + ) + (pad "21" thru_hole oval + (at 15.24 17.78) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 31 "/A10") + (pinfunction "A10") + (pintype "input") + (uuid "c6ddeb5d-f56d-4613-99cf-9be5a59b825b") + ) + (pad "22" thru_hole oval + (at 15.24 15.24) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 34 "/A15") + (pinfunction "~{OE}") + (pintype "input") + (uuid "a22aa9d5-8cea-4e77-b266-5e83909c20b2") + ) + (pad "23" thru_hole oval + (at 15.24 12.7) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 30 "/A11") + (pinfunction "A11") + (pintype "input") + (uuid "984eeaa9-6151-45b8-96ee-a321824663d7") + ) + (pad "24" thru_hole oval + (at 15.24 10.16) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "/A9") + (pinfunction "A9") + (pintype "input") + (uuid "add3b2b8-44d0-4e80-bde9-62386b7e598c") + ) + (pad "25" thru_hole oval + (at 15.24 7.62) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 10 "/A8") + (pinfunction "A8") + (pintype "input") + (uuid "df69c7c6-8575-4dc8-beca-b2182a935072") + ) + (pad "26" thru_hole oval + (at 15.24 5.08) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 4 "/A13") + (pinfunction "A13") + (pintype "input") + (uuid "d4206497-6bc7-4ca4-96ac-b36c771a3f23") + ) + (pad "27" thru_hole oval + (at 15.24 2.54) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "GND") + (pinfunction "~{WE}") + (pintype "input") + (uuid "258a1815-3270-4620-b6fb-93eb5bc1a881") + ) + (pad "28" thru_hole oval + (at 15.24 0) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "+5V") + (pinfunction "VCC") + (pintype "power_in") + (uuid "4beb8f3f-9dee-4024-8ee9-2e821d3aea1d") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_DIP.3dshapes/DIP-28_W15.24mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_DIP:DIP-14_W7.62mm" + (layer "F.Cu") + (uuid "88adddc4-2b79-4c15-acfd-f55763f75a69") + (at 137.8 150.19) + (descr "14-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils)") + (tags "THT DIP DIL PDIP 2.54mm 7.62mm 300mil") + (property "Reference" "U6" + (at 3.81 -2.33 0) + (layer "F.SilkS") + (uuid "76d53761-36fc-4e66-a241-5adc55b2be8a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "74HC14" + (at 3.81 17.57 0) + (layer "F.Fab") + (uuid "d2d959b3-9972-4c94-aa20-2f3389b4d718") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bd17c3b5-9486-417c-b3f7-46b84c989ffe") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3afd1867-1812-417f-9c87-640f7e934d57") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Hex inverter schmitt trigger" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "feb898df-0dae-466b-bf46-f212f475bc7f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "DIP*W7.62mm*") + (path "/b56d0515-f820-4a0e-a069-e5c1b57f63bb") + (sheetname "Root") + (sheetfile "vga.kicad_sch") + (attr through_hole) + (fp_line + (start 1.16 -1.33) + (end 1.16 16.57) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "71210c69-93b5-4be9-8ca3-4bb5c5be349f") + ) + (fp_line + (start 1.16 16.57) + (end 6.46 16.57) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4fc91572-c074-4cd2-a293-0d4e187015e3") + ) + (fp_line + (start 2.81 -1.33) + (end 1.16 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6195f515-ada6-4e4c-ba55-e0270afeb36e") + ) + (fp_line + (start 6.46 -1.33) + (end 4.81 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d2a7ef6a-4e34-4067-9a8c-512447ce0baf") + ) + (fp_line + (start 6.46 16.57) + (end 6.46 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "df87e0d6-42c6-48d8-9a97-a28043fe4fb0") + ) + (fp_arc + (start 4.81 -1.33) + (mid 3.81 -0.33) + (end 2.81 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "39ed07e7-a180-4a92-ae60-10e797279117") + ) + (fp_line + (start -1.1 -1.55) + (end -1.1 16.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bfaf2b48-5404-4b0e-906d-82093a0036dc") + ) + (fp_line + (start -1.1 16.8) + (end 8.7 16.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ddc8a56b-faac-44d5-acd0-ad66ad91b912") + ) + (fp_line + (start 8.7 -1.55) + (end -1.1 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d89ec709-8576-4397-9ad0-f33a887ae239") + ) + (fp_line + (start 8.7 16.8) + (end 8.7 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f6a51be5-34f3-4bb9-8dc0-4f1b99456ace") + ) + (fp_line + (start 0.635 -0.27) + (end 1.635 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8fb79431-7166-43a6-9daf-132b5dbb1b1f") + ) + (fp_line + (start 0.635 16.51) + (end 0.635 -0.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2294d1f4-9451-4ec5-a9e2-7f73dcb6b2b2") + ) + (fp_line + (start 1.635 -1.27) + (end 6.985 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4518a8b3-13e9-4e44-9e84-f70ddd3504e4") + ) + (fp_line + (start 6.985 -1.27) + (end 6.985 16.51) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7c8d839b-58c0-405d-af59-8757621efbb4") + ) + (fp_line + (start 6.985 16.51) + (end 0.635 16.51) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d0e536a9-3d5f-42ed-b5f1-b1c35e75cb26") + ) + (fp_text user "${REFERENCE}" + (at 3.81 7.62 0) + (layer "F.Fab") + (uuid "20ff7bbc-c6a1-4636-9120-7c14784bdf8f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 34 "/A15") + (pintype "input") + (uuid "986308c5-e24f-4ed3-ad73-c227fd19cd50") + ) + (pad "2" thru_hole oval + (at 0 2.54) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 42 "Net-(U2-~{CS})") + (pintype "output") + (uuid "34944178-c4da-4ea6-b63c-dafdabacd7ba") + ) + (pad "3" thru_hole oval + (at 0 5.08) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 47 "/DE") + (pintype "input") + (uuid "62fc5e1c-02a2-4afc-baba-261ec75c35af") + ) + (pad "4" thru_hole oval + (at 0 7.62) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 70 "/~{DE}") + (pintype "output") + (uuid "1299a245-78b0-4411-a962-504e7552b681") + ) + (pad "5" thru_hole oval + (at 0 10.16) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "0c84063d-9d29-43f0-9ae4-c1579f87cac0") + ) + (pad "6" thru_hole oval + (at 0 12.7) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "eaa7a80f-d1fc-4d2c-b314-21f073159d6e") + ) + (pad "7" thru_hole oval + (at 0 15.24) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "23e49304-618f-4568-a693-1c091b307e6d") + ) + (pad "8" thru_hole oval + (at 7.62 15.24) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "b8e67453-94bf-4be3-a0f2-d716908ee7c5") + ) + (pad "9" thru_hole oval + (at 7.62 12.7) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "9500a800-4ae7-4866-89b1-521779491615") + ) + (pad "10" thru_hole oval + (at 7.62 10.16) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "f2700bb6-ab7e-4e9f-bf7f-84ecaae3ad19") + ) + (pad "11" thru_hole oval + (at 7.62 7.62) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "6d63dfa6-fc23-48ee-824f-77e2975a508b") + ) + (pad "12" thru_hole oval + (at 7.62 5.08) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "7bd40acb-a81f-43f6-a3aa-dfaa311cc183") + ) + (pad "13" thru_hole oval + (at 7.62 2.54) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "31b8e334-0559-4440-8295-92045090d448") + ) + (pad "14" thru_hole oval + (at 7.62 0) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "+5V") + (pinfunction "VCC") + (pintype "power_in") + (uuid "d48375b5-6bde-4015-8b02-6d72288e54f1") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_DIP.3dshapes/DIP-14_W7.62mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_DIP:DIP-40_W15.24mm" + (layer "F.Cu") + (uuid "a0d4aec0-5151-4746-aa9a-4ba4b554d366") + (at 132.24 62.07) + (descr "40-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils)") + (tags "THT DIP DIL PDIP 2.54mm 15.24mm 600mil") + (property "Reference" "U2" + (at 7.62 -2.33 0) + (layer "F.SilkS") + (uuid "ad1af406-790b-461e-b908-911e4cf24234") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "MC6845" + (at 7.62 50.59 0) + (layer "F.Fab") + (uuid "cfc11483-9075-4640-8b36-48faa1f7754a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-40_W15.24mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "83bccdd3-8a78-42b6-b19e-2fe7ad580e08") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://pdf.datasheetcatalog.com/datasheet_pdf/motorola/MC6845L_and_MC6845P.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "20185260-fdbd-450d-9c58-747978bf9631") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "CRT Controller 1MHz, DIP-40" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c1d99dc5-0a1d-476a-8ad7-b1f6ff865adf") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "DIP*W15.24mm*") + (path "/15366ae6-fd73-4f9c-bbcd-871b55cc66ce") + (sheetname "Root") + (sheetfile "vga.kicad_sch") + (attr through_hole) + (fp_line + (start 1.16 -1.33) + (end 1.16 49.59) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "60a9786f-505a-412c-8a54-234acf806934") + ) + (fp_line + (start 1.16 49.59) + (end 14.08 49.59) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0ebe6cff-474e-4f60-b153-3e84dbb9529c") + ) + (fp_line + (start 6.62 -1.33) + (end 1.16 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6838c2e8-e4fb-4108-90f5-cbab1a9b49da") + ) + (fp_line + (start 14.08 -1.33) + (end 8.62 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7c327b1f-e341-47fd-a094-86d4208bb59a") + ) + (fp_line + (start 14.08 49.59) + (end 14.08 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6620042b-4f89-4241-96c3-51bf3bbe5110") + ) + (fp_arc + (start 8.62 -1.33) + (mid 7.62 -0.33) + (end 6.62 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c7ad3455-0716-482d-b0af-a72421032af6") + ) + (fp_line + (start -1.05 -1.55) + (end -1.05 49.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c5ac04e2-91d2-41b9-a3de-94e886261b2a") + ) + (fp_line + (start -1.05 49.8) + (end 16.3 49.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2ee77d68-8a3a-40b9-a9a2-3a8635e0af59") + ) + (fp_line + (start 16.3 -1.55) + (end -1.05 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2c3f86db-ff80-45a3-9040-b76a881a2180") + ) + (fp_line + (start 16.3 49.8) + (end 16.3 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2dba2bd5-ea6e-4477-b89e-4a8d598c0d5b") + ) + (fp_line + (start 0.255 -0.27) + (end 1.255 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4def7227-a850-484a-9e43-84fb90dac81d") + ) + (fp_line + (start 0.255 49.53) + (end 0.255 -0.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c104f16e-5793-43de-976c-52855375ebfd") + ) + (fp_line + (start 1.255 -1.27) + (end 14.985 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fd2cb298-c045-4e43-8364-cf03497b3786") + ) + (fp_line + (start 14.985 -1.27) + (end 14.985 49.53) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f74cb1f6-0b08-47b6-b2ca-e6f3f2227716") + ) + (fp_line + (start 14.985 49.53) + (end 0.255 49.53) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "00ec904a-480d-4597-bcfd-6594cf19481c") + ) + (fp_text user "${REFERENCE}" + (at 7.62 24.13 0) + (layer "F.Fab") + (uuid "31df1076-8d1c-445a-997b-227cfebd69d1") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "126954c3-81ea-47d4-9a78-228f67479980") + ) + (pad "2" thru_hole oval + (at 0 2.54) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 17 "/~{RESET}") + (pinfunction "~{RESET}") + (pintype "input") + (uuid "704f602e-3162-4cac-9ec6-4c9deace9c97") + ) + (pad "3" thru_hole oval + (at 0 5.08) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "GND") + (pinfunction "LPSTB") + (pintype "input") + (uuid "b8d08bf3-4da8-4a22-9f01-658426b5f457") + ) + (pad "4" thru_hole oval + (at 0 7.62) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 49 "Net-(U2-MA0)") + (pinfunction "MA0") + (pintype "output") + (uuid "a190ff29-513d-4914-952e-2aef309b7b11") + ) + (pad "5" thru_hole oval + (at 0 10.16) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 48 "Net-(U2-MA1)") + (pinfunction "MA1") + (pintype "output") + (uuid "9e4f92f4-fafa-4b96-b136-f31250e5a659") + ) + (pad "6" thru_hole oval + (at 0 12.7) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 58 "Net-(U2-MA2)") + (pinfunction "MA2") + (pintype "output") + (uuid "f84f4737-fd84-4d9d-9c85-153532cf9834") + ) + (pad "7" thru_hole oval + (at 0 15.24) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 40 "Net-(U2-MA3)") + (pinfunction "MA3") + (pintype "output") + (uuid "313acd4b-c3ea-4fc2-bfae-0dce29c6b218") + ) + (pad "8" thru_hole oval + (at 0 17.78) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 44 "Net-(U2-MA4)") + (pinfunction "MA4") + (pintype "output") + (uuid "5811828a-cb60-4e5e-9211-a94e14f1c129") + ) + (pad "9" thru_hole oval + (at 0 20.32) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 52 "Net-(U2-MA5)") + (pinfunction "MA5") + (pintype "output") + (uuid "cbe2ccac-df64-4775-865e-5941fcf95817") + ) + (pad "10" thru_hole oval + (at 0 22.86) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 46 "Net-(U2-MA6)") + (pinfunction "MA6") + (pintype "output") + (uuid "923b5884-4a5e-40da-96b5-3a954c8d7222") + ) + (pad "11" thru_hole oval + (at 0 25.4) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 59 "Net-(U2-MA7)") + (pinfunction "MA7") + (pintype "output") + (uuid "fb8c6c17-6e24-41ef-a773-0658072cdd08") + ) + (pad "12" thru_hole oval + (at 0 27.94) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 54 "Net-(U2-MA8)") + (pinfunction "MA8") + (pintype "output") + (uuid "db7f118b-07e8-43d7-a0d9-202c8eaa840d") + ) + (pad "13" thru_hole oval + (at 0 30.48) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 45 "Net-(U2-MA9)") + (pinfunction "MA9") + (pintype "output") + (uuid "6362c7ae-62dc-4748-b212-baac21e55e37") + ) + (pad "14" thru_hole oval + (at 0 33.02) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 39 "Net-(U2-MA10)") + (pinfunction "MA10") + (pintype "output") + (uuid "26c167e0-801b-4c5b-9490-9dab10f1b84a") + ) + (pad "15" thru_hole oval + (at 0 35.56) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 43 "unconnected-(U2-MA11-Pad15)") + (pinfunction "MA11") + (pintype "output+no_connect") + (uuid "5723a36b-f6d7-4651-bc4e-6b5b86d0631a") + ) + (pad "16" thru_hole oval + (at 0 38.1) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 57 "unconnected-(U2-MA12-Pad16)") + (pinfunction "MA12") + (pintype "output+no_connect") + (uuid "f7ab07e0-994e-434c-925a-64f075a84f3b") + ) + (pad "17" thru_hole oval + (at 0 40.64) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 38 "unconnected-(U2-MA13-Pad17)") + (pinfunction "MA13") + (pintype "output+no_connect") + (uuid "1549f160-4ad9-455b-bcae-80aa4c39c0e6") + ) + (pad "18" thru_hole oval + (at 0 43.18) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 47 "/DE") + (pinfunction "DE") + (pintype "output") + (uuid "9388e8d1-f6d5-4e09-ba0c-d814b654722b") + ) + (pad "19" thru_hole oval + (at 0 45.72) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 50 "unconnected-(U2-CURSOR-Pad19)") + (pinfunction "CURSOR") + (pintype "output+no_connect") + (uuid "a2bfa5d1-a604-4a83-baa0-f840818047ab") + ) + (pad "20" thru_hole oval + (at 0 48.26) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "+5V") + (pinfunction "VCC") + (pintype "power_in") + (uuid "65cd2e6a-68ea-484b-97e1-9c4644cf34de") + ) + (pad "21" thru_hole oval + (at 15.24 48.26) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 9 "/2M") + (pinfunction "CLK") + (pintype "input") + (uuid "8382b1f7-ccbf-449e-9b0f-7ebf79758cd1") + ) + (pad "22" thru_hole oval + (at 15.24 45.72) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 32 "/R{slash}~{W}") + (pinfunction "R/~{W}") + (pintype "input") + (uuid "5e41c82a-d51a-4564-9042-6f9967ddf4e9") + ) + (pad "23" thru_hole oval + (at 15.24 43.18) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "+5V") + (pinfunction "E") + (pintype "input") + (uuid "3b1721b5-93bf-42d1-a395-066c2a41dbe9") + ) + (pad "24" thru_hole oval + (at 15.24 40.64) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 29 "/A0") + (pinfunction "RS") + (pintype "input") + (uuid "b4470983-44a9-4b25-b11d-f37adc5fcf19") + ) + (pad "25" thru_hole oval + (at 15.24 38.1) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 42 "Net-(U2-~{CS})") + (pinfunction "~{CS}") + (pintype "input") + (uuid "5137d994-771f-4a8a-9edc-0075da822199") + ) + (pad "26" thru_hole oval + (at 15.24 35.56) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 19 "/D7") + (pinfunction "D7") + (pintype "bidirectional") + (uuid "eaa964dc-bbd4-45c2-8732-9aedd2fbca67") + ) + (pad "27" thru_hole oval + (at 15.24 33.02) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 33 "/D6") + (pinfunction "D6") + (pintype "bidirectional") + (uuid "0e0e4dcf-6fe8-497c-9c56-59ab6f9a499d") + ) + (pad "28" thru_hole oval + (at 15.24 30.48) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 28 "/D5") + (pinfunction "D5") + (pintype "bidirectional") + (uuid "85c119b9-1901-4937-abe6-4b6fffc3db4c") + ) + (pad "29" thru_hole oval + (at 15.24 27.94) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 24 "/D4") + (pinfunction "D4") + (pintype "bidirectional") + (uuid "9b8435d6-ef31-4680-bd55-62919bf7ca45") + ) + (pad "30" thru_hole oval + (at 15.24 25.4) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 16 "/D3") + (pinfunction "D3") + (pintype "bidirectional") + (uuid "34fadb35-9b84-44e9-b6df-8d5b6e748847") + ) + (pad "31" thru_hole oval + (at 15.24 22.86) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 13 "/D2") + (pinfunction "D2") + (pintype "bidirectional") + (uuid "b37d42ea-6689-40e0-a7eb-211e9a9dc6f7") + ) + (pad "32" thru_hole oval + (at 15.24 20.32) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 14 "/D1") + (pinfunction "D1") + (pintype "bidirectional") + (uuid "605855db-4275-4f50-9246-0a2393851644") + ) + (pad "33" thru_hole oval + (at 15.24 17.78) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 25 "/D0") + (pinfunction "D0") + (pintype "bidirectional") + (uuid "a6b92747-edd1-42d5-893f-f6a764308c21") + ) + (pad "34" thru_hole oval + (at 15.24 15.24) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 51 "unconnected-(U2-RA4-Pad34)") + (pinfunction "RA4") + (pintype "output+no_connect") + (uuid "baa6c963-e187-44c5-a89a-db2daa33399c") + ) + (pad "35" thru_hole oval + (at 15.24 12.7) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 53 "Net-(U2-RA3)") + (pinfunction "RA3") + (pintype "output") + (uuid "d5d984eb-b74c-479b-ba5a-542c0d0cef4e") + ) + (pad "36" thru_hole oval + (at 15.24 10.16) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 56 "Net-(U2-RA2)") + (pinfunction "RA2") + (pintype "output") + (uuid "e0c6fe98-d882-4229-aaeb-ea72a5e89751") + ) + (pad "37" thru_hole oval + (at 15.24 7.62) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 41 "Net-(U2-RA1)") + (pinfunction "RA1") + (pintype "output") + (uuid "41404270-1695-4078-b19b-dc8b7d653277") + ) + (pad "38" thru_hole oval + (at 15.24 5.08) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 55 "Net-(U2-RA0)") + (pinfunction "RA0") + (pintype "output") + (uuid "ded17a7e-b135-4508-9f76-32b78e92ac65") + ) + (pad "39" thru_hole oval + (at 15.24 2.54) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 36 "/HSYNC") + (pinfunction "HS") + (pintype "output") + (uuid "07fe874a-cca3-4b3f-b768-74c4989766a5") + ) + (pad "40" thru_hole oval + (at 15.24 0) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 37 "/VSYNC") + (pinfunction "VS") + (pintype "output") + (uuid "0da5df39-6bb1-4126-9577-da05ffb44994") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_DIP.3dshapes/DIP-40_W15.24mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_DIP:DIP-40_W15.24mm" + (layer "F.Cu") + (uuid "a72a5067-fdda-483f-bbed-7a5b5c4ba7a7") + (at 96.32 62.34) + (descr "40-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils)") + (tags "THT DIP DIL PDIP 2.54mm 15.24mm 600mil") + (property "Reference" "U1" + (at 7.62 -2.33 0) + (layer "F.SilkS") + (uuid "a0e05394-ac71-4d6c-8e05-ac7248a3761f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "W65C02SxP" + (at 7.62 50.59 0) + (layer "F.Fab") + (uuid "ab6f0e5f-9912-4eaf-a60e-1d9d801be0e2") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-40_W15.24mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ea457094-a41b-4b20-9952-d2b08c19831c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://www.westerndesigncenter.com/wdc/documentation/w65c02s.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8ac683a2-18ab-41b1-9961-6157caa9dc67") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "8-bit CMOS General Purpose Microprocessor, DIP-40" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5cbf397a-2af4-464f-ad9d-d20be05fe30d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "DIP*W15.24mm*") + (path "/2b01245e-b474-4e0d-b344-18dbc558b19f") + (sheetname "Root") + (sheetfile "vga.kicad_sch") + (attr through_hole) + (fp_line + (start 1.16 -1.33) + (end 1.16 49.59) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7ae365c7-894d-4f45-867c-fa65b32a55e0") + ) + (fp_line + (start 1.16 49.59) + (end 14.08 49.59) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5316a776-72e8-465d-865f-aba61df9acc3") + ) + (fp_line + (start 6.62 -1.33) + (end 1.16 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b3de1c0e-67b2-4449-93f7-aced82b933fd") + ) + (fp_line + (start 14.08 -1.33) + (end 8.62 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "daceb932-998d-4ae4-86a6-61f11ec63876") + ) + (fp_line + (start 14.08 49.59) + (end 14.08 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8bc98e32-f5ab-4a1b-b993-e74c2aa917f2") + ) + (fp_arc + (start 8.62 -1.33) + (mid 7.62 -0.33) + (end 6.62 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "95b627df-a108-4899-9006-1b1f8deb6bf1") + ) + (fp_line + (start -1.05 -1.55) + (end -1.05 49.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d7fabd8e-e9a0-4760-859c-471fc271efe3") + ) + (fp_line + (start -1.05 49.8) + (end 16.3 49.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ec0f042b-ced2-4713-80fa-0ccf40e8dd4e") + ) + (fp_line + (start 16.3 -1.55) + (end -1.05 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d6ed9986-37d1-40a6-8554-0c8b90d4e164") + ) + (fp_line + (start 16.3 49.8) + (end 16.3 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2b99d2cd-8e05-46fb-ba04-d658ccf9f356") + ) + (fp_line + (start 0.255 -0.27) + (end 1.255 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b7fd78ac-5e07-487d-b1c3-0532436f3320") + ) + (fp_line + (start 0.255 49.53) + (end 0.255 -0.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e7ea1190-3443-42da-b514-aac02931139f") + ) + (fp_line + (start 1.255 -1.27) + (end 14.985 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7db7d01c-d709-4a75-a5d5-36e0b1add324") + ) + (fp_line + (start 14.985 -1.27) + (end 14.985 49.53) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "694bd91b-9417-4a32-9d5a-d2d385cbec33") + ) + (fp_line + (start 14.985 49.53) + (end 0.255 49.53) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "672740c4-0377-4741-9f38-38db4f1a98d2") + ) + (fp_text user "${REFERENCE}" + (at 7.62 24.13 0) + (layer "F.Fab") + (uuid "19941605-1bc1-43ff-aa80-fb6f29267780") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 6 "unconnected-(U1-~{VP}-Pad1)") + (pinfunction "~{VP}") + (pintype "output+no_connect") + (uuid "1e887b07-2991-4105-a502-0aed60a3b608") + ) + (pad "2" thru_hole oval + (at 0 2.54) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "+5V") + (pinfunction "RDY") + (pintype "open_collector") + (uuid "407a0349-53ff-45df-bc18-9500dea8e8a2") + ) + (pad "3" thru_hole oval + (at 0 5.08) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 26 "unconnected-(U1-ϕ1-Pad3)") + (pinfunction "ϕ1") + (pintype "output+no_connect") + (uuid "c886ca6e-2a45-4830-bf56-076bb8282ed9") + ) + (pad "4" thru_hole oval + (at 0 7.62) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "+5V") + (pinfunction "~{IRQ}") + (pintype "input") + (uuid "9650fa9b-806c-49ab-9def-1dd7c30dce31") + ) + (pad "5" thru_hole oval + (at 0 10.16) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 35 "unconnected-(U1-~{ML}-Pad5)") + (pinfunction "~{ML}") + (pintype "output+no_connect") + (uuid "f78f8e0b-b6b5-4e1b-8c89-b73ffa893d0d") + ) + (pad "6" thru_hole oval + (at 0 12.7) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "+5V") + (pinfunction "~{NMI}") + (pintype "input") + (uuid "3b3543d0-134c-48e5-b81a-c4a20e51207a") + ) + (pad "7" thru_hole oval + (at 0 15.24) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 15 "unconnected-(U1-SYNC-Pad7)") + (pinfunction "SYNC") + (pintype "output+no_connect") + (uuid "6697b5cb-857d-4463-9030-1088e56393aa") + ) + (pad "8" thru_hole oval + (at 0 17.78) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "+5V") + (pinfunction "VDD") + (pintype "power_in") + (uuid "aa761164-e575-4703-b114-8b391027a282") + ) + (pad "9" thru_hole oval + (at 0 20.32) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 29 "/A0") + (pinfunction "A0") + (pintype "tri_state") + (uuid "d3f45fa1-3a41-4de6-a304-bea0fd559da0") + ) + (pad "10" thru_hole oval + (at 0 22.86) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 5 "/A1") + (pinfunction "A1") + (pintype "tri_state") + (uuid "1cd0dfb6-be5f-49f2-9467-7b47cb6d8bda") + ) + (pad "11" thru_hole oval + (at 0 25.4) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 27 "/A2") + (pinfunction "A2") + (pintype "tri_state") + (uuid "ca41acd2-f963-4811-ab2d-8c54902f95e2") + ) + (pad "12" thru_hole oval + (at 0 27.94) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "/A3") + (pinfunction "A3") + (pintype "tri_state") + (uuid "05cde71d-c469-465d-84fc-c0fd40a9fad2") + ) + (pad "13" thru_hole oval + (at 0 30.48) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 20 "/A4") + (pinfunction "A4") + (pintype "tri_state") + (uuid "8fd8667d-e920-42ae-ae14-3ffb779b5036") + ) + (pad "14" thru_hole oval + (at 0 33.02) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 23 "/A5") + (pinfunction "A5") + (pintype "tri_state") + (uuid "ab11a7c4-ff15-47a7-ad2a-cf6f70006d0e") + ) + (pad "15" thru_hole oval + (at 0 35.56) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 12 "/A6") + (pinfunction "A6") + (pintype "tri_state") + (uuid "33e262dd-02d7-44d9-9749-37f325e60e86") + ) + (pad "16" thru_hole oval + (at 0 38.1) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 21 "/A7") + (pinfunction "A7") + (pintype "tri_state") + (uuid "a38f84a2-4b8f-44f3-b694-86efda617ac3") + ) + (pad "17" thru_hole oval + (at 0 40.64) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 10 "/A8") + (pinfunction "A8") + (pintype "tri_state") + (uuid "284db179-d47c-4a81-8cfb-43e6a05a6763") + ) + (pad "18" thru_hole oval + (at 0 43.18) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "/A9") + (pinfunction "A9") + (pintype "tri_state") + (uuid "222cd4cc-0f99-4aae-ade9-9499d2ea253f") + ) + (pad "19" thru_hole oval + (at 0 45.72) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 31 "/A10") + (pinfunction "A10") + (pintype "tri_state") + (uuid "db74e4fe-da1a-450e-a1c5-e510bd3877a4") + ) + (pad "20" thru_hole oval + (at 0 48.26) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 30 "/A11") + (pinfunction "A11") + (pintype "tri_state") + (uuid "d48af771-9ebc-49aa-94e1-315665c0d32b") + ) + (pad "21" thru_hole oval + (at 15.24 48.26) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "GND") + (pinfunction "VSS") + (pintype "power_in") + (uuid "a8f5f855-2b9f-46d4-a1c0-02b73a2bd979") + ) + (pad "22" thru_hole oval + (at 15.24 45.72) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "/A12") + (pinfunction "A12") + (pintype "tri_state") + (uuid "14f2799c-1d49-4ba2-9326-39db76ae2e98") + ) + (pad "23" thru_hole oval + (at 15.24 43.18) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 4 "/A13") + (pinfunction "A13") + (pintype "tri_state") + (uuid "17594962-f963-4cd1-8aa5-e4db89c89d30") + ) + (pad "24" thru_hole oval + (at 15.24 40.64) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "/A14") + (pinfunction "A14") + (pintype "tri_state") + (uuid "0645327b-4c3a-45ce-ba57-3d443bbf97f9") + ) + (pad "25" thru_hole oval + (at 15.24 38.1) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 34 "/A15") + (pinfunction "A15") + (pintype "tri_state") + (uuid "f6c4426c-5c75-4b03-9147-21ca2bfb2293") + ) + (pad "26" thru_hole oval + (at 15.24 35.56) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 19 "/D7") + (pinfunction "D7") + (pintype "bidirectional") + (uuid "8ee677ee-19b3-496f-9cbb-4c68173e741a") + ) + (pad "27" thru_hole oval + (at 15.24 33.02) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 33 "/D6") + (pinfunction "D6") + (pintype "bidirectional") + (uuid "e5f72867-e2e9-4e0b-907b-c154ddeadb53") + ) + (pad "28" thru_hole oval + (at 15.24 30.48) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 28 "/D5") + (pinfunction "D5") + (pintype "bidirectional") + (uuid "cbe52140-48d5-42d8-a62d-ae120aba5a60") + ) + (pad "29" thru_hole oval + (at 15.24 27.94) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 24 "/D4") + (pinfunction "D4") + (pintype "bidirectional") + (uuid "c29efdad-5dfa-411a-94b0-b6808879de07") + ) + (pad "30" thru_hole oval + (at 15.24 25.4) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 16 "/D3") + (pinfunction "D3") + (pintype "bidirectional") + (uuid "81a710ad-9961-4f36-8496-367d81158c64") + ) + (pad "31" thru_hole oval + (at 15.24 22.86) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 13 "/D2") + (pinfunction "D2") + (pintype "bidirectional") + (uuid "34e066e3-544c-401f-95d1-cbaa6329e3d1") + ) + (pad "32" thru_hole oval + (at 15.24 20.32) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 14 "/D1") + (pinfunction "D1") + (pintype "bidirectional") + (uuid "48669249-a7ba-4006-a2a7-cb51dc92d7e6") + ) + (pad "33" thru_hole oval + (at 15.24 17.78) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 25 "/D0") + (pinfunction "D0") + (pintype "bidirectional") + (uuid "c77ce31c-f9d9-4d02-8f30-998e392e2c30") + ) + (pad "34" thru_hole oval + (at 15.24 15.24) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 32 "/R{slash}~{W}") + (pinfunction "R/~{W}") + (pintype "tri_state") + (uuid "e1e2876a-aae5-4f63-8ecc-0686ea1af7ed") + ) + (pad "35" thru_hole oval + (at 15.24 12.7) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 18 "unconnected-(U1-nc-Pad35)") + (pinfunction "nc") + (pintype "no_connect") + (uuid "8b33e2a1-03f4-4b68-a225-9cc85c49175c") + ) + (pad "36" thru_hole oval + (at 15.24 10.16) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "+5V") + (pinfunction "BE") + (pintype "input") + (uuid "29e99edc-f3b4-4391-96f6-aff31746e44b") + ) + (pad "37" thru_hole oval + (at 15.24 7.62) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 9 "/2M") + (pinfunction "ϕ0") + (pintype "input") + (uuid "27bd286c-7481-4c3c-a9d7-d4a60191597a") + ) + (pad "38" thru_hole oval + (at 15.24 5.08) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "+5V") + (pinfunction "~{SO}") + (pintype "input") + (uuid "6d67fcce-f572-4eae-910b-a8287a8cc627") + ) + (pad "39" thru_hole oval + (at 15.24 2.54) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 8 "unconnected-(U1-ϕ2-Pad39)") + (pinfunction "ϕ2") + (pintype "output+no_connect") + (uuid "26c38a0c-cac3-47ab-bfe8-b69dd606e8e8") + ) + (pad "40" thru_hole oval + (at 15.24 0) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 17 "/~{RESET}") + (pinfunction "~{RES}") + (pintype "input") + (uuid "827ee9e9-9bb6-4294-8a27-e1e571369e34") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_DIP.3dshapes/DIP-40_W15.24mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_DIP:DIP-16_W7.62mm" + (layer "F.Cu") + (uuid "bcb2e399-794b-47f5-a2b8-fa89566229d4") + (at 102.48 122.93) + (descr "16-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils)") + (tags "THT DIP DIL PDIP 2.54mm 7.62mm 300mil") + (property "Reference" "U7" + (at 3.81 -2.33 0) + (layer "F.SilkS") + (uuid "99966d63-61e2-4b64-b806-a97b7a497739") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "4520" + (at 3.81 20.11 0) + (layer "F.Fab") + (uuid "0dc54cc8-3dfb-4e69-bea5-340e7ca938b9") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-16_W7.62mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7c2e8212-0ca7-40cb-99e4-7627ba915812") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://www.intersil.com/content/dam/Intersil/documents/cd45/cd4518bms-20bms.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "87c197b7-520d-4ce5-a6f3-417b1e124f4a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Dual Binary Up-Counter" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f501514c-85ed-42f3-aeb3-2b6b1c43be48") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "DIP?16*") + (path "/6ca65897-6a56-4977-b769-99b7c905af5e") + (sheetname "Root") + (sheetfile "vga.kicad_sch") + (attr through_hole) + (fp_line + (start 1.16 -1.33) + (end 1.16 19.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "91c87967-4323-4e2e-80c3-ad07053758e3") + ) + (fp_line + (start 1.16 19.11) + (end 6.46 19.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0df37535-9219-44db-b171-7bb0912829d8") + ) + (fp_line + (start 2.81 -1.33) + (end 1.16 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c7169a8e-2916-43d2-bf98-8e380a7ce6d2") + ) + (fp_line + (start 6.46 -1.33) + (end 4.81 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "08bf1e6c-cab2-4a92-ab57-f48d8bab6c89") + ) + (fp_line + (start 6.46 19.11) + (end 6.46 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2538a4a3-9b6d-4251-b1e1-65ee70a947a7") + ) + (fp_arc + (start 4.81 -1.33) + (mid 3.81 -0.33) + (end 2.81 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1978f0d8-a654-40e6-abbe-61c1e3c8b86a") + ) + (fp_line + (start -1.1 -1.55) + (end -1.1 19.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "471c8460-aada-441f-9306-e24d7411d927") + ) + (fp_line + (start -1.1 19.3) + (end 8.7 19.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b766d43b-4d99-4e83-87ac-2e92ccd63b3d") + ) + (fp_line + (start 8.7 -1.55) + (end -1.1 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "525a230c-50fa-4162-a169-b85626a2f54d") + ) + (fp_line + (start 8.7 19.3) + (end 8.7 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d71a6056-a0f5-4b28-954e-1a4dcdc930e0") + ) + (fp_line + (start 0.635 -0.27) + (end 1.635 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3b31920b-1bc6-4aa6-bcef-cdec2aa645a4") + ) + (fp_line + (start 0.635 19.05) + (end 0.635 -0.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "88f2371b-c846-4dc4-9af1-ac8d400879bc") + ) + (fp_line + (start 1.635 -1.27) + (end 6.985 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e952034b-f34c-4c62-a269-d974425e0813") + ) + (fp_line + (start 6.985 -1.27) + (end 6.985 19.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "eb39dc09-3f2b-4e81-b8c8-bbcbc125d198") + ) + (fp_line + (start 6.985 19.05) + (end 0.635 19.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fae780c3-2c92-46d8-acdd-663b41069905") + ) + (fp_text user "${REFERENCE}" + (at 3.81 8.89 0) + (layer "F.Fab") + (uuid "4f139dda-85cb-4348-a545-28aa28b074b0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 69 "/16M") + (pinfunction "CK") + (pintype "input") + (uuid "c7700c9c-0e4d-45ac-92c7-78e2efa36aee") + ) + (pad "2" thru_hole oval + (at 0 2.54) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "+5V") + (pinfunction "Enable") + (pintype "input") + (uuid "7d44a177-e01e-4e88-862d-80491cc4edf9") + ) + (pad "3" thru_hole oval + (at 0 5.08) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 76 "/8M") + (pinfunction "Q1") + (pintype "output") + (uuid "69e00df6-8ad5-46c6-b8a4-f57ecedb985f") + ) + (pad "4" thru_hole oval + (at 0 7.62) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 74 "/4M") + (pinfunction "Q2") + (pintype "output") + (uuid "56b8a83c-9f27-46ef-9c74-212fcecc8751") + ) + (pad "5" thru_hole oval + (at 0 10.16) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 9 "/2M") + (pinfunction "Q3") + (pintype "output") + (uuid "2a899e5d-9abf-44b0-b2e9-e8a0c1bd518d") + ) + (pad "6" thru_hole oval + (at 0 12.7) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 75 "/1M") + (pinfunction "Q4") + (pintype "output") + (uuid "56efa3d6-9331-4e6f-af42-d66461c6ff7a") + ) + (pad "7" thru_hole oval + (at 0 15.24) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "GND") + (pinfunction "Reset") + (pintype "input") + (uuid "2899948f-467c-44c8-92d5-885fa0f9c9b3") + ) + (pad "8" thru_hole oval + (at 0 17.78) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "GND") + (pinfunction "VSS") + (pintype "power_in") + (uuid "f0e8dde3-2553-48dd-92d6-013480432f46") + ) + (pad "9" thru_hole oval + (at 7.62 17.78) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "2c452d22-8859-41fb-9040-5226a9243c1d") + ) + (pad "10" thru_hole oval + (at 7.62 15.24) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "fe53dd3d-f107-476e-b533-47eb3cc3d79f") + ) + (pad "11" thru_hole oval + (at 7.62 12.7) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "a9be0f5f-3682-4b1b-a54e-28388327ec3a") + ) + (pad "12" thru_hole oval + (at 7.62 10.16) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "1fb4d0f5-060e-4b20-87fd-9820ace3b76d") + ) + (pad "13" thru_hole oval + (at 7.62 7.62) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "afcbf309-f513-4bb5-97cc-fa08f1646a96") + ) + (pad "14" thru_hole oval + (at 7.62 5.08) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "15c090ab-bd7d-432d-be76-5899acd07846") + ) + (pad "15" thru_hole oval + (at 7.62 2.54) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "6ce340a5-7d8c-4739-939b-78720c9783c4") + ) + (pad "16" thru_hole oval + (at 7.62 0) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "+5V") + (pinfunction "VDD") + (pintype "power_in") + (uuid "1a1d61e6-e569-4786-b6c3-d2fb6c11e44e") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_DIP.3dshapes/DIP-16_W7.62mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_DIP:DIP-16_W7.62mm" + (layer "F.Cu") + (uuid "cbfd5b12-7a16-4744-89fb-ebe8ed632c4d") + (at 137.97 122.84) + (descr "16-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils)") + (tags "THT DIP DIL PDIP 2.54mm 7.62mm 300mil") + (property "Reference" "U5" + (at 3.81 -2.33 0) + (layer "F.SilkS") + (uuid "fbc13a9f-ffaf-4f3e-aa27-8cb75e83a8e8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "74HC165" + (at 3.81 20.11 0) + (layer "F.Fab") + (uuid "f2f7fef7-cd47-4845-b6a8-13296b54dfb5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-16_W7.62mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "917b0e17-9b04-42a8-a43d-45eeb4db445c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/74HC_HCT165.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e9befe7f-9e95-42e7-a1b5-8431b00543dd") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Shift Register, 8-bit, Parallel Load" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d192db30-1b86-4b9b-ac58-9a3e6424af1b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "DIP?16* SO*16*3.9x9.9mm*P1.27mm* SSOP*16*5.3x6.2mm*P0.65mm* TSSOP*16*4.4x5mm*P0.65*") + (path "/761de061-8b05-4fcc-b7f2-0fd2cb15e9a0") + (sheetname "Root") + (sheetfile "vga.kicad_sch") + (attr through_hole) + (fp_line + (start 1.16 -1.33) + (end 1.16 19.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c84ca552-d8c2-4db8-b7c8-16770d848dc0") + ) + (fp_line + (start 1.16 19.11) + (end 6.46 19.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "096a2163-dec7-4bf7-bccd-eb2d0ab62d6b") + ) + (fp_line + (start 2.81 -1.33) + (end 1.16 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b0aead00-5834-435d-9ee1-9c2d247371af") + ) + (fp_line + (start 6.46 -1.33) + (end 4.81 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "665ec15e-e3d4-40de-a120-00b6b6f0cbd3") + ) + (fp_line + (start 6.46 19.11) + (end 6.46 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "70037a6f-e7fc-4523-af28-b3508be5a380") + ) + (fp_arc + (start 4.81 -1.33) + (mid 3.81 -0.33) + (end 2.81 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c10fe2e2-ef65-4b84-9522-76a933f7059f") + ) + (fp_line + (start -1.1 -1.55) + (end -1.1 19.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4684b3a5-7823-4574-83a8-c31872bab47d") + ) + (fp_line + (start -1.1 19.3) + (end 8.7 19.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7815b26e-5908-442d-813d-862ec0644048") + ) + (fp_line + (start 8.7 -1.55) + (end -1.1 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "374c0b33-3a9f-45f9-bdcf-8b16b51ce79a") + ) + (fp_line + (start 8.7 19.3) + (end 8.7 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "fc1c7d20-65ce-46ef-9ce0-4df204c12731") + ) + (fp_line + (start 0.635 -0.27) + (end 1.635 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "504b3d57-f2d0-4c4e-999b-5b39ae3f7a32") + ) + (fp_line + (start 0.635 19.05) + (end 0.635 -0.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "006b291a-472a-4b9b-9ce4-e4b9aad9033e") + ) + (fp_line + (start 1.635 -1.27) + (end 6.985 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "38cae96e-f837-4287-ab40-dc6b0fbd18d5") + ) + (fp_line + (start 6.985 -1.27) + (end 6.985 19.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "997845cb-ea4b-42e2-9c13-977279ca38df") + ) + (fp_line + (start 6.985 19.05) + (end 0.635 19.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "adf6ea4b-dcc0-40dc-8a1c-1f1c92f04e0f") + ) + (fp_text user "${REFERENCE}" + (at 3.81 8.89 0) + (layer "F.Fab") + (uuid "d8481b1f-8716-4777-9422-759ce3f101ea") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "GND") + (pinfunction "~{PL}") + (pintype "input") + (uuid "f91a7322-a440-45f0-9749-fe6516782865") + ) + (pad "2" thru_hole oval + (at 0 2.54) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 69 "/16M") + (pinfunction "CP") + (pintype "input") + (uuid "66fe58f0-4c35-4447-b780-bc7f8f3bc7ab") + ) + (pad "3" thru_hole oval + (at 0 5.08) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 61 "Net-(U3-D4)") + (pinfunction "D4") + (pintype "input") + (uuid "a79b40c5-e9f2-452b-beaa-33e4e39fd714") + ) + (pad "4" thru_hole oval + (at 0 7.62) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 64 "Net-(U3-D5)") + (pinfunction "D5") + (pintype "input") + (uuid "559bcb07-7f59-4e7d-8af0-ddd58a2b4197") + ) + (pad "5" thru_hole oval + (at 0 10.16) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 62 "Net-(U3-D6)") + (pinfunction "D6") + (pintype "input") + (uuid "47b96ea5-9b66-40ac-8537-2fa605113a04") + ) + (pad "6" thru_hole oval + (at 0 12.7) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 65 "Net-(U3-D7)") + (pinfunction "D7") + (pintype "input") + (uuid "13a5a2d8-2f74-418c-b03f-5de850fc8908") + ) + (pad "7" thru_hole oval + (at 0 15.24) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 72 "unconnected-(U5-~{Q7}-Pad7)") + (pinfunction "~{Q7}") + (pintype "output+no_connect") + (uuid "b846b566-7f86-4a8c-bdbb-1580766f1ba0") + ) + (pad "8" thru_hole oval + (at 0 17.78) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "87e25441-56c2-4a24-b154-83e6d2052a5b") + ) + (pad "9" thru_hole oval + (at 7.62 17.78) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 71 "Net-(U5-Q7)") + (pinfunction "Q7") + (pintype "output") + (uuid "5e95a61a-206d-4951-8bb6-df9dcebe25bb") + ) + (pad "10" thru_hole oval + (at 7.62 15.24) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 73 "unconnected-(U5-DS-Pad10)") + (pinfunction "DS") + (pintype "input+no_connect") + (uuid "c3f77c5b-36dc-4abc-a0ec-2493206f4eb4") + ) + (pad "11" thru_hole oval + (at 7.62 12.7) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 63 "Net-(U3-D0)") + (pinfunction "D0") + (pintype "input") + (uuid "4d6db1cd-8a75-464a-bb66-95bb4666b4fe") + ) + (pad "12" thru_hole oval + (at 7.62 10.16) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 67 "Net-(U3-D1)") + (pinfunction "D1") + (pintype "input") + (uuid "317c3620-4f21-49e2-a945-a581c8290785") + ) + (pad "13" thru_hole oval + (at 7.62 7.62) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 60 "Net-(U3-D2)") + (pinfunction "D2") + (pintype "input") + (uuid "457a8377-4bc9-4c27-8545-0bc4c72e3f8b") + ) + (pad "14" thru_hole oval + (at 7.62 5.08) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 66 "Net-(U3-D3)") + (pinfunction "D3") + (pintype "input") + (uuid "c7d719c7-1727-4ffd-930b-fce8be8fa4e6") + ) + (pad "15" thru_hole oval + (at 7.62 2.54) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 70 "/~{DE}") + (pinfunction "~{CE}") + (pintype "input") + (uuid "11288a78-e9c2-4e3e-9230-9a25c6b45dec") + ) + (pad "16" thru_hole oval + (at 7.62 0) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "+5V") + (pinfunction "VCC") + (pintype "power_in") + (uuid "190c55e9-2a91-494d-b43a-f92bdb7ca6bc") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_DIP.3dshapes/DIP-16_W7.62mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Oscillator:Oscillator_DIP-14" + (layer "F.Cu") + (uuid "cc47edad-d484-4cd4-b6be-fe42aa9df55b") + (at 101.6 151.45 -90) + (descr "Oscillator, DIP14, http://cdn-reichelt.de/documents/datenblatt/B400/OSZI.pdf") + (tags "oscillator") + (property "Reference" "X1" + (at 7.62 -11.26 90) + (layer "F.SilkS") + (uuid "38498377-d363-4250-b8b4-a41405f9a4c5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "16Mhz" + (at 7.62 3.74 90) + (layer "F.Fab") + (uuid "722a4156-9657-43ab-9ebc-fe89ae011a3c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Oscillator:Oscillator_DIP-14" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "73010612-bb70-4be6-9740-40e9de259858") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://cdn-reichelt.de/documents/datenblatt/B400/OSZI.pdf" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c6a63b95-723b-42c7-b5f7-f71365b4ed10") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Crystal Clock Oscillator, DIP14-style metal package" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "dfa91a4c-d3c1-4223-a554-e27447567ab8") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "Oscillator*DIP*14*") + (path "/ddaadf22-2e32-4fd1-8fe2-02e5fda0335e") + (sheetname "Root") + (sheetfile "vga.kicad_sch") + (attr through_hole) + (fp_line + (start -2.83 2.64) + (end 17.32 2.64) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b0edffa6-4dde-456b-ac22-e19783ba8c21") + ) + (fp_line + (start 18.07 1.89) + (end 18.07 -9.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4da387c8-5edc-4e16-9f0c-4764c093a929") + ) + (fp_line + (start -2.83 -9.51) + (end -2.83 2.64) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "932eb98f-8758-4025-bab4-97cb105edbc8") + ) + (fp_line + (start 17.32 -10.26) + (end -2.08 -10.26) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "857427cf-b577-42ad-b221-314b22fc24bf") + ) + (fp_arc + (start 18.07 1.89) + (mid 17.85033 2.42033) + (end 17.32 2.64) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d9804f99-b5b3-4272-8f02-c7b718a7e19b") + ) + (fp_arc + (start -2.83 -9.51) + (mid -2.61033 -10.04033) + (end -2.08 -10.26) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "36de8aa5-8acf-42e6-94b6-3e0b66312c46") + ) + (fp_arc + (start 17.32 -10.26) + (mid 17.85033 -10.04033) + (end 18.07 -9.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "92424ba9-7d90-477a-9ca4-c25a56a33085") + ) + (fp_line + (start -2.98 2.79) + (end 18.22 2.79) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "90acb432-a1c8-46aa-99d3-94d05b099139") + ) + (fp_line + (start 18.22 2.79) + (end 18.22 -10.41) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7fa5bc8a-0386-47ad-8ac5-694d7934d8a0") + ) + (fp_line + (start -2.98 -10.41) + (end -2.98 2.79) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d3c901e6-e00f-49cd-bcbb-baa256e1c7ca") + ) + (fp_line + (start 18.22 -10.41) + (end -2.98 -10.41) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b890741c-f2e6-4eab-8771-c7a3e53547b6") + ) + (fp_line + (start -2.73 2.54) + (end 17.32 2.54) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "baee7103-5579-41b4-806b-65e59aade934") + ) + (fp_line + (start -2.73 2.54) + (end -2.73 -9.51) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "675dacb8-540d-4e39-ac80-6db245f1ed53") + ) + (fp_line + (start -1.73 1.54) + (end 16.62 1.54) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "eaa8941a-1534-47fa-a4cf-b00494fce2aa") + ) + (fp_line + (start -1.73 1.54) + (end -1.73 -8.81) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fa7f71ff-279b-4890-919d-4caff978cb47") + ) + (fp_line + (start 16.97 1.19) + (end 16.97 -8.81) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a8665050-4e4f-4f97-987f-acdab5916388") + ) + (fp_line + (start -1.38 -9.16) + (end 16.62 -9.16) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ed4168a3-feae-48aa-a132-8b7a1413f30a") + ) + (fp_line + (start 17.97 -9.51) + (end 17.97 1.89) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "716a069c-34e3-48c2-b3d6-c7b527774c9f") + ) + (fp_line + (start -2.08 -10.16) + (end 17.32 -10.16) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "aa2b7cff-eada-4b07-bd7a-4900fb7bbcb2") + ) + (fp_arc + (start 17.97 1.89) + (mid 17.779619 2.349619) + (end 17.32 2.54) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "809cc668-4711-457c-9aed-ff99829ec7b1") + ) + (fp_arc + (start 16.97 1.19) + (mid 16.867487 1.437487) + (end 16.62 1.54) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5fef3bba-f924-4a99-aed8-81f529fb5ead") + ) + (fp_arc + (start -1.73 -8.81) + (mid -1.627487 -9.057487) + (end -1.38 -9.16) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "39b124a3-16f1-43fb-a460-424809aec8ff") + ) + (fp_arc + (start 16.62 -9.16) + (mid 16.867487 -9.057487) + (end 16.97 -8.81) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "aa7c1bd1-18d8-4da8-9368-61065f7309a6") + ) + (fp_arc + (start -2.73 -9.51) + (mid -2.539619 -9.969619) + (end -2.08 -10.16) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "893dba2c-0064-48fc-bc8e-40414599c200") + ) + (fp_arc + (start 17.32 -10.16) + (mid 17.779619 -9.969619) + (end 17.97 -9.51) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8e006346-7e92-48ff-a7fb-8f91504a83be") + ) + (fp_text user "${REFERENCE}" + (at 7.62 -3.81 90) + (layer "F.Fab") + (uuid "d852aa1c-cb17-4f9f-92b1-ba12caeb4104") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0 270) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 68 "unconnected-(X1-EN-Pad1)") + (pinfunction "EN") + (pintype "input+no_connect") + (uuid "82d66340-d7ec-42fe-92ab-3984f98e069e") + ) + (pad "7" thru_hole circle + (at 15.24 0 270) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "dc42db0a-84b7-442d-9d55-0bb9bcecfbb9") + ) + (pad "8" thru_hole circle + (at 15.24 -7.62 270) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 69 "/16M") + (pinfunction "OUT") + (pintype "output") + (uuid "a26b2357-b9b5-4850-92e5-ecc00db09c11") + ) + (pad "14" thru_hole circle + (at 0 -7.62 270) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "+5V") + (pinfunction "Vcc") + (pintype "power_in") + (uuid "c7698b2f-b60f-47ce-83aa-f444cde30c6c") + ) + (model "${KICAD8_3DMODEL_DIR}/Oscillator.3dshapes/Oscillator_DIP-14.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) +) \ No newline at end of file diff --git a/test/vga/vga.kicad_prl b/test/vga/vga.kicad_prl new file mode 100644 index 0000000..b3ea1b2 --- /dev/null +++ b/test/vga/vga.kicad_prl @@ -0,0 +1,81 @@ +{ + "board": { + "active_layer": 0, + "active_layer_preset": "", + "auto_track_width": true, + "hidden_netclasses": [], + "hidden_nets": [], + "high_contrast_mode": 0, + "net_color_mode": 1, + "opacity": { + "images": 0.6, + "pads": 1.0, + "tracks": 1.0, + "vias": 1.0, + "zones": 0.6 + }, + "selection_filter": { + "dimensions": true, + "footprints": true, + "graphics": true, + "keepouts": true, + "lockedItems": false, + "otherItems": true, + "pads": true, + "text": true, + "tracks": true, + "vias": true, + "zones": true + }, + "visible_items": [ + 0, + 1, + 2, + 3, + 4, + 5, + 8, + 9, + 10, + 13, + 15, + 16, + 17, + 18, + 19, + 20, + 21, + 22, + 23, + 24, + 25, + 26, + 27, + 28, + 29, + 30, + 32, + 33, + 34, + 35, + 36, + 39, + 40 + ], + "visible_layers": "fffffff_ffffffff", + "zone_display_mode": 0 + }, + "git": { + "repo_password": "", + "repo_type": "", + "repo_username": "", + "ssh_key": "" + }, + "meta": { + "filename": "vga.kicad_prl", + "version": 3 + }, + "project": { + "files": [] + } +} diff --git a/test/vga/vga.kicad_pro b/test/vga/vga.kicad_pro new file mode 100644 index 0000000..ed1d946 --- /dev/null +++ b/test/vga/vga.kicad_pro @@ -0,0 +1,582 @@ +{ + "board": { + "3dviewports": [], + "design_settings": { + "defaults": { + "apply_defaults_to_fp_fields": false, + "apply_defaults_to_fp_shapes": false, + "apply_defaults_to_fp_text": false, + "board_outline_line_width": 0.05, + "copper_line_width": 0.2, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.05, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.1, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.1, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.762, + "height": 1.524, + "width": 1.524 + }, + "silk_line_width": 0.1, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.1, + "silk_text_upright": false, + "zones": { + "min_clearance": 0.5 + } + }, + "diff_pair_dimensions": [], + "drc_exclusions": [], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "connection_width": "warning", + "copper_edge_clearance": "error", + "copper_sliver": "warning", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint": "error", + "footprint_symbol_mismatch": "warning", + "footprint_type_mismatch": "ignore", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "isolated_copper": "warning", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "lib_footprint_issues": "warning", + "lib_footprint_mismatch": "warning", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "warning", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_edge_clearance": "warning", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "solder_mask_bridge": "error", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zones_intersect": "error" + }, + "rules": { + "max_error": 0.005, + "min_clearance": 0.0, + "min_connection": 0.0, + "min_copper_edge_clearance": 0.5, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.2, + "min_microvia_drill": 0.1, + "min_resolved_spokes": 2, + "min_silk_clearance": 0.0, + "min_text_height": 0.8, + "min_text_thickness": 0.08, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.0, + "min_via_annular_width": 0.1, + "min_via_diameter": 0.5, + "solder_mask_to_copper_clearance": 0.0, + "use_height_for_length_calcs": true + }, + "teardrop_options": [ + { + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], + "track_widths": [], + "tuning_pattern_settings": { + "diff_pair_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 1.0 + }, + "diff_pair_skew_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 0.6 + }, + "single_track_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 0.6 + } + }, + "via_dimensions": [], + "zones_allow_external_fillets": false + }, + "ipc2581": { + "dist": "", + "distpn": "", + "internal_id": "", + "mfg": "", + "mpn": "" + }, + "layer_presets": [], + "viewports": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "conflicting_netclasses": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "simulation_model_issue": "ignore", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "vga.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.2, + "via_diameter": 0.6, + "via_drill": 0.3, + "wire_width": 6 + } + ], + "meta": { + "version": 3 + }, + "net_colors": null, + "netclass_assignments": null, + "netclass_patterns": [] + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "plot": "", + "pos_files": "", + "specctra_dsn": "", + "step": "", + "svg": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "bom_fmt_presets": [], + "bom_fmt_settings": { + "field_delimiter": ",", + "keep_line_breaks": false, + "keep_tabs": false, + "name": "CSV", + "ref_delimiter": ",", + "ref_range_delimiter": "", + "string_delimiter": "\"" + }, + "bom_presets": [], + "bom_settings": { + "exclude_dnp": false, + "fields_ordered": [ + { + "group_by": false, + "label": "Reference", + "name": "Reference", + "show": true + }, + { + "group_by": true, + "label": "Value", + "name": "Value", + "show": true + }, + { + "group_by": false, + "label": "Datasheet", + "name": "Datasheet", + "show": true + }, + { + "group_by": false, + "label": "Footprint", + "name": "Footprint", + "show": true + }, + { + "group_by": false, + "label": "Qty", + "name": "${QUANTITY}", + "show": true + }, + { + "group_by": true, + "label": "DNP", + "name": "${DNP}", + "show": true + } + ], + "filter_string": "", + "group_symbols": true, + "name": "Grouped By Value", + "sort_asc": true, + "sort_field": "Reference" + }, + "connection_grid_size": 50.0, + "drawing": { + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.375, + "operating_point_overlay_i_precision": 3, + "operating_point_overlay_i_range": "~A", + "operating_point_overlay_v_precision": 3, + "operating_point_overlay_v_range": "~V", + "overbar_offset_ratio": 1.23, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.15 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "page_layout_descr_file": "", + "plot_directory": "", + "spice_current_sheet_as_root": false, + "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_dissipations": false, + "spice_save_all_voltages": false, + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "2b483699-1e0e-4398-bb54-78cf29795aa5", + "Root" + ] + ], + "text_variables": {} +} diff --git a/test/vga/vga.kicad_sch b/test/vga/vga.kicad_sch new file mode 100644 index 0000000..32d670b --- /dev/null +++ b/test/vga/vga.kicad_sch @@ -0,0 +1,12486 @@ +(kicad_sch + (version 20231120) + (generator "eeschema") + (generator_version "8.0") + (uuid "2b483699-1e0e-4398-bb54-78cf29795aa5") + (paper "A4") + (lib_symbols + (symbol "4xxx:4520" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -7.62 8.89 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "4520" + (at -7.62 -8.89 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.intersil.com/content/dam/Intersil/documents/cd45/cd4518bms-20bms.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Dual Binary Up-Counter" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "CMOS" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP?16*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "4520_1_0" + (pin input clock + (at -12.7 0 0) + (length 5.08) + (name "CK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -5.08 0) + (length 5.08) + (name "Enable" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 12.7 2.54 180) + (length 5.08) + (name "Q1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 12.7 0 180) + (length 5.08) + (name "Q2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 12.7 -2.54 180) + (length 5.08) + (name "Q3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 12.7 -5.08 180) + (length 5.08) + (name "Q4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -2.54 0) + (length 5.08) + (name "Reset" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "4520_1_1" + (rectangle + (start -7.62 5.08) + (end 7.62 -7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "4520_2_0" + (pin input line + (at -12.7 -5.08 0) + (length 5.08) + (name "Enable" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 12.7 2.54 180) + (length 5.08) + (name "Q1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 12.7 0 180) + (length 5.08) + (name "Q2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 12.7 -2.54 180) + (length 5.08) + (name "Q3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 12.7 -5.08 180) + (length 5.08) + (name "Q4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -2.54 0) + (length 5.08) + (name "Reset" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input clock + (at -12.7 0 0) + (length 5.08) + (name "CK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "4520_2_1" + (rectangle + (start -7.62 5.08) + (end 7.62 -7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "4520_3_0" + (pin power_in line + (at 0 12.7 270) + (length 5.08) + (name "VDD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -12.7 90) + (length 5.08) + (name "VSS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "4520_3_1" + (rectangle + (start -5.08 7.62) + (end 5.08 -7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + ) + (symbol "74xx:74HC14" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at 0 1.27 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC14" + (at 0 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Hex inverter schmitt trigger" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "HCMOS not inverter" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W7.62mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "74HC14_1_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_1_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_2_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_2_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_3_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_3_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_4_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_4_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_5_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_5_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_6_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_6_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_7_0" + (pin power_in line + (at 0 12.7 270) + (length 5.08) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -12.7 90) + (length 5.08) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_7_1" + (rectangle + (start -5.08 7.62) + (end 5.08 -7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + ) + (symbol "74xx:74HC165" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -7.62 19.05 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC165" + (at -7.62 -21.59 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/74HC_HCT165.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Shift Register, 8-bit, Parallel Load" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "8 bit shift register parallel load cmos" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP?16* SO*16*3.9x9.9mm*P1.27mm* SSOP*16*5.3x6.2mm*P0.65mm* TSSOP*16*4.4x5mm*P0.65*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "74HC165_1_0" + (pin input line + (at -12.7 -10.16 0) + (length 5.08) + (name "~{PL}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 15.24 0) + (length 5.08) + (name "DS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 12.7 0) + (length 5.08) + (name "D0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 10.16 0) + (length 5.08) + (name "D1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 7.62 0) + (length 5.08) + (name "D2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 5.08 0) + (length 5.08) + (name "D3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -17.78 0) + (length 5.08) + (name "~{CE}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 22.86 270) + (length 5.08) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -15.24 0) + (length 5.08) + (name "CP" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 2.54 0) + (length 5.08) + (name "D4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 0 0) + (length 5.08) + (name "D5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -2.54 0) + (length 5.08) + (name "D6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -5.08 0) + (length 5.08) + (name "D7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 12.7 12.7 180) + (length 5.08) + (name "~{Q7}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -25.4 90) + (length 5.08) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 12.7 15.24 180) + (length 5.08) + (name "Q7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC165_1_1" + (rectangle + (start -7.62 17.78) + (end 7.62 -20.32) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + ) + (symbol "Connector:DE15_Receptacle_HighDensity" + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 21.59 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "DE15_Receptacle_HighDensity" + (at 0 19.05 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at -24.13 10.16 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" " ~" + (at -24.13 10.16 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "15-pin female receptacle socket D-SUB connector, High density (3 columns), Triple Row, Generic, VGA-connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "connector receptacle de15 VGA female D-SUB" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DSUB*Female*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "DE15_Receptacle_HighDensity_0_1" + (circle + (center -1.905 -10.16) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center -1.905 -5.08) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center -1.905 0) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center -1.905 5.08) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center -1.905 10.16) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 0 -7.62) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 0 -2.54) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -3.175 7.62) (xy -0.635 7.62) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -0.635 -7.62) (xy -3.175 -7.62) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -0.635 -2.54) (xy -3.175 -2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -0.635 2.54) (xy -3.175 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -0.635 12.7) (xy -3.175 12.7) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -3.81 17.78) (xy -3.81 -15.24) (xy 3.81 -12.7) (xy 3.81 15.24) (xy -3.81 17.78) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (circle + (center 0 2.54) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 0 7.62) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 0 12.7) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 1.905 -10.16) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 1.905 -5.08) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 1.905 0) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 1.905 5.08) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 1.905 10.16) + (radius 0.635) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "DE15_Receptacle_HighDensity_1_1" + (pin passive line + (at -7.62 10.16 0) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -7.62 -7.62 0) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 10.16 180) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 5.08 180) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 0 180) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 -5.08 180) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 -10.16 180) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -7.62 5.08 0) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -7.62 0 0) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -7.62 -5.08 0) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -7.62 -10.16 0) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -7.62 12.7 0) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -7.62 7.62 0) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -7.62 2.54 0) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -7.62 -2.54 0) + (length 5.08) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:C" + (pin_numbers hide) + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "C" + (at 0.635 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "C" + (at 0.635 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0.9652 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "cap capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "C_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) (xy 2.032 -0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.032 0.762) (xy 2.032 0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "C_1_1" + (pin passive line + (at 0 3.81 270) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:R" + (pin_numbers hide) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "R" + (at 2.032 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "R" + (at 0 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at -1.778 0 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "R res resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "R_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "R_0_1" + (rectangle + (start -1.016 -2.54) + (end 1.016 2.54) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "R_1_1" + (pin passive line + (at 0 3.81 270) + (length 1.27) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 1.27) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Memory_EEPROM:28C256" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -7.62 26.67 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "28C256" + (at 2.54 -26.67 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Paged Parallel EEPROM 256Kb (32K x 8), DIP-28/SOIC-28" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "Parallel EEPROM 256Kb" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W15.24mm* SOIC*7.5x17.9mm*P1.27mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "28C256_1_1" + (rectangle + (start -7.62 25.4) + (end 7.62 -25.4) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -10.16 -12.7 0) + (length 2.54) + (name "A14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 22.86 0) + (length 2.54) + (name "A0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 22.86 180) + (length 2.54) + (name "D0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 20.32 180) + (length 2.54) + (name "D1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 17.78 180) + (length 2.54) + (name "D2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -27.94 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 15.24 180) + (length 2.54) + (name "D3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 12.7 180) + (length 2.54) + (name "D4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 10.16 180) + (length 2.54) + (name "D5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 7.62 180) + (length 2.54) + (name "D6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 5.08 180) + (length 2.54) + (name "D7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -7.62 0) + (length 2.54) + (name "A12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -22.86 0) + (length 2.54) + (name "~{CS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -2.54 0) + (length 2.54) + (name "A10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -20.32 0) + (length 2.54) + (name "~{OE}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -5.08 0) + (length 2.54) + (name "A11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 0 0) + (length 2.54) + (name "A9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 2.54 0) + (length 2.54) + (name "A8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -10.16 0) + (length 2.54) + (name "A13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "26" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -17.78 0) + (length 2.54) + (name "~{WE}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 27.94 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 5.08 0) + (length 2.54) + (name "A7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 7.62 0) + (length 2.54) + (name "A6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 10.16 0) + (length 2.54) + (name "A5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 12.7 0) + (length 2.54) + (name "A4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 15.24 0) + (length 2.54) + (name "A3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 17.78 0) + (length 2.54) + (name "A2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 20.32 0) + (length 2.54) + (name "A1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Oscillator:CXO_DIP14" + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "X" + (at -5.08 6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "CXO_DIP14" + (at 1.27 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Oscillator:Oscillator_DIP-14" + (at 11.43 -8.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://cdn-reichelt.de/documents/datenblatt/B400/OSZI.pdf" + (at -2.54 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Crystal Clock Oscillator, DIP14-style metal package" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "Crystal Clock Oscillator" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Oscillator*DIP*14*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "CXO_DIP14_0_1" + (rectangle + (start -5.08 5.08) + (end 5.08 -5.08) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -1.905 -0.635) (xy -1.27 -0.635) (xy -1.27 0.635) (xy -0.635 0.635) (xy -0.635 -0.635) (xy 0 -0.635) + (xy 0 0.635) (xy 0.635 0.635) (xy 0.635 -0.635) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "CXO_DIP14_1_1" + (pin input line + (at -7.62 0 0) + (length 2.54) + (name "EN" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 7.62 270) + (length 2.54) + (name "Vcc" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -7.62 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 0 180) + (length 2.54) + (name "OUT" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Switch:SW_Push" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "SW" + (at 1.27 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "SW_Push" + (at 0 -1.524 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Push button switch, generic, two pins" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "switch normally-open pushbutton push-button" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "SW_Push_0_1" + (circle + (center -2.032 0) + (radius 0.508) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 1.27) (xy 0 3.048) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 2.54 1.27) (xy -2.54 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 2.032 0) + (radius 0.508) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (pin passive line + (at -5.08 0 0) + (length 2.54) + (name "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 0 180) + (length 2.54) + (name "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "george:28C256" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U3" + (at 2.1941 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "28C256" + (at 2.1941 27.94 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_LCC:PLCC-32_THT-Socket" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Paged Parallel EEPROM 256Kb (32K x 8), DIP-28/SOIC-28" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "Parallel EEPROM 256Kb" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W15.24mm* SOIC*7.5x17.9mm*P1.27mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "28C256_1_1" + (rectangle + (start -7.62 25.4) + (end 7.62 -25.4) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -10.16 20.32 0) + (length 2.54) + (name "A1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 22.86 0) + (length 2.54) + (name "A0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 22.86 180) + (length 2.54) + (name "D0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 20.32 180) + (length 2.54) + (name "D1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 17.78 180) + (length 2.54) + (name "D2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -27.94 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 15.24 180) + (length 2.54) + (name "D3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 12.7 180) + (length 2.54) + (name "D4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -12.7 0) + (length 2.54) + (name "A14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 10.16 180) + (length 2.54) + (name "D5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 7.62 180) + (length 2.54) + (name "D6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 5.08 180) + (length 2.54) + (name "D7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -22.86 0) + (length 2.54) + (name "~{CS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -2.54 0) + (length 2.54) + (name "A10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -20.32 0) + (length 2.54) + (name "~{OE}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -5.08 0) + (length 2.54) + (name "A11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 0 0) + (length 2.54) + (name "A9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 2.54 0) + (length 2.54) + (name "A8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "29" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -7.62 0) + (length 2.54) + (name "A12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -10.16 0) + (length 2.54) + (name "A13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "30" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -17.78 0) + (length 2.54) + (name "~{WE}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "31" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 27.94 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "32" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 5.08 0) + (length 2.54) + (name "A7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 7.62 0) + (length 2.54) + (name "A6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 10.16 0) + (length 2.54) + (name "A5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 12.7 0) + (length 2.54) + (name "A4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 15.24 0) + (length 2.54) + (name "A3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 17.78 0) + (length 2.54) + (name "A2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "george:MC6845" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -10.16 34.29 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "MC6845" + (at 12.7 34.29 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Package_DIP:DIP-40_W15.24mm" + (at 1.27 -34.29 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + (property "Datasheet" "http://pdf.datasheetcatalog.com/datasheet_pdf/motorola/MC6845L_and_MC6845P.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "CRT Controller 1MHz, DIP-40" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "CRT controller" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W15.24mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "MC6845_0_1" + (rectangle + (start -12.7 -33.02) + (end 12.7 33.02) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "MC6845_1_1" + (pin power_in line + (at 0 -35.56 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 15.24 180) + (length 2.54) + (name "MA6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 12.7 180) + (length 2.54) + (name "MA7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 10.16 180) + (length 2.54) + (name "MA8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 7.62 180) + (length 2.54) + (name "MA9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 5.08 180) + (length 2.54) + (name "MA10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 2.54 180) + (length 2.54) + (name "MA11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 0 180) + (length 2.54) + (name "MA12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -2.54 180) + (length 2.54) + (name "MA13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -22.86 180) + (length 2.54) + (name "DE" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -30.48 180) + (length 2.54) + (name "CURSOR" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -15.24 -2.54 0) + (length 2.54) + (name "~{RESET}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 35.56 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -15.24 -7.62 0) + (length 2.54) + (name "CLK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -15.24 7.62 0) + (length 2.54) + (name "R/~{W}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -15.24 0 0) + (length 2.54) + (name "E" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -15.24 2.54 0) + (length 2.54) + (name "RS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -15.24 5.08 0) + (length 2.54) + (name "~{CS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 12.7 0) + (length 2.54) + (name "D7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "26" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 15.24 0) + (length 2.54) + (name "D6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 17.78 0) + (length 2.54) + (name "D5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 20.32 0) + (length 2.54) + (name "D4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "29" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -15.24 -12.7 0) + (length 2.54) + (name "LPSTB" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 22.86 0) + (length 2.54) + (name "D3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "30" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 25.4 0) + (length 2.54) + (name "D2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "31" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 27.94 0) + (length 2.54) + (name "D1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "32" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 30.48 0) + (length 2.54) + (name "D0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "33" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -17.78 180) + (length 2.54) + (name "RA4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "34" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -15.24 180) + (length 2.54) + (name "RA3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "35" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -12.7 180) + (length 2.54) + (name "RA2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "36" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -10.16 180) + (length 2.54) + (name "RA1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "37" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -7.62 180) + (length 2.54) + (name "RA0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "38" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -25.4 180) + (length 2.54) + (name "HS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "39" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 30.48 180) + (length 2.54) + (name "MA0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -27.94 180) + (length 2.54) + (name "VS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "40" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 27.94 180) + (length 2.54) + (name "MA1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 25.4 180) + (length 2.54) + (name "MA2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 22.86 180) + (length 2.54) + (name "MA3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 20.32 180) + (length 2.54) + (name "MA4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 17.78 180) + (length 2.54) + (name "MA5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "george:W65C02SxP" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at 2.54 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "W65C02SxP" + (at 2.54 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-40_W15.24mm" + (at 0 50.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.westerndesigncenter.com/wdc/documentation/w65c02s.pdf" + (at 0 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "8-bit CMOS General Purpose Microprocessor, DIP-40" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "6502 65C02 CPU uP" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W15.24mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "W65C02SxP_0_1" + (rectangle + (start -7.62 35.56) + (end 7.62 -35.56) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "W65C02SxP_1_1" + (pin output line + (at -10.16 -7.62 0) + (length 2.54) + (name "~{VP}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 27.94 180) + (length 2.54) + (name "A1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 25.4 180) + (length 2.54) + (name "A2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 22.86 180) + (length 2.54) + (name "A3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 20.32 180) + (length 2.54) + (name "A4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 17.78 180) + (length 2.54) + (name "A5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 15.24 180) + (length 2.54) + (name "A6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 12.7 180) + (length 2.54) + (name "A7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 10.16 180) + (length 2.54) + (name "A8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 7.62 180) + (length 2.54) + (name "A9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 5.08 180) + (length 2.54) + (name "A10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin open_collector line + (at -10.16 2.54 0) + (length 2.54) + (name "RDY" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 2.54 180) + (length 2.54) + (name "A11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -38.1 90) + (length 2.54) + (name "VSS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 0 180) + (length 2.54) + (name "A12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 -2.54 180) + (length 2.54) + (name "A13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 -5.08 180) + (length 2.54) + (name "A14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 -7.62 180) + (length 2.54) + (name "A15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 10.16 -30.48 180) + (length 2.54) + (name "D7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "26" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 10.16 -27.94 180) + (length 2.54) + (name "D6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 10.16 -25.4 180) + (length 2.54) + (name "D5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 10.16 -22.86 180) + (length 2.54) + (name "D4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "29" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at -10.16 22.86 0) + (length 2.54) + (name "ϕ1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 10.16 -20.32 180) + (length 2.54) + (name "D3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "30" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 10.16 -17.78 180) + (length 2.54) + (name "D2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "31" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 10.16 -15.24 180) + (length 2.54) + (name "D1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "32" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 10.16 -12.7 180) + (length 2.54) + (name "D0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "33" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -10.16 7.62 0) + (length 2.54) + (name "R/~{W}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "34" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin no_connect non_logic + (at -7.62 -30.48 0) + (length 2.54) hide + (name "nc" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "35" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 0 0) + (length 2.54) + (name "BE" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "36" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input clock + (at -10.16 25.4 0) + (length 2.54) + (name "ϕ0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "37" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -15.24 0) + (length 2.54) + (name "~{SO}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "38" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at -10.16 20.32 0) + (length 2.54) + (name "ϕ2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "39" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 15.24 0) + (length 2.54) + (name "~{IRQ}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 30.48 0) + (length 2.54) + (name "~{RES}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "40" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at -10.16 -10.16 0) + (length 2.54) + (name "~{ML}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 12.7 0) + (length 2.54) + (name "~{NMI}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at -10.16 -5.08 0) + (length 2.54) + (name "SYNC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 38.1 270) + (length 2.54) + (name "VDD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 30.48 180) + (length 2.54) + (name "A0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:+5V" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+5V_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:GND" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_1_1" + (pin power_in line + (at 0 0 270) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + ) + (junction + (at 243.84 44.45) + (diameter 0) + (color 0 0 0 0) + (uuid "461163da-6ac4-4558-9311-e0eac09685ed") + ) + (junction + (at 46.99 165.1) + (diameter 0) + (color 0 0 0 0) + (uuid "51d78d73-1b72-4655-94b5-847f844ee7c1") + ) + (junction + (at 186.69 92.71) + (diameter 0) + (color 0 0 0 0) + (uuid "677dec88-78ce-46a2-87ee-1612d608d6ca") + ) + (junction + (at 102.87 95.25) + (diameter 0) + (color 0 0 0 0) + (uuid "6eef990e-e958-470f-b419-50b8361388bd") + ) + (junction + (at 39.37 180.34) + (diameter 0) + (color 0 0 0 0) + (uuid "a3a8e685-95a1-4e35-bb04-acf0c4adceaa") + ) + (junction + (at 243.84 49.53) + (diameter 0) + (color 0 0 0 0) + (uuid "c48038bd-c09e-40a4-ac45-1d8ffbf75251") + ) + (junction + (at 31.75 165.1) + (diameter 0) + (color 0 0 0 0) + (uuid "e68ac818-32d8-4fa5-b327-e547207ffcdb") + ) + (no_connect + (at 247.65 57.15) + (uuid "04729c48-0d47-49fc-8584-d21ecbcf6795") + ) + (no_connect + (at 166.37 77.47) + (uuid "11c35e42-193f-4629-9b8d-c62e99e85313") + ) + (no_connect + (at 247.65 59.69) + (uuid "171f51e4-29ee-45ad-97ce-70f5b3da0c8b") + ) + (no_connect + (at 31.75 57.15) + (uuid "1b9e9942-1cd0-4e46-98d8-fb651b23d2b3") + ) + (no_connect + (at 31.75 59.69) + (uuid "2683b7ab-407b-4768-97e5-5e3235c51dff") + ) + (no_connect + (at 166.37 74.93) + (uuid "40012c55-6414-4c14-8219-00e35e5c8a85") + ) + (no_connect + (at 247.65 46.99) + (uuid "4af418a7-01b9-4eb4-8a22-bff0c5919aa6") + ) + (no_connect + (at 31.75 90.17) + (uuid "527b8add-e99f-419c-8672-5a39335b3cb6") + ) + (no_connect + (at 166.37 80.01) + (uuid "59382c12-bd6c-456e-a455-d54e98791298") + ) + (no_connect + (at 247.65 41.91) + (uuid "617dca1d-5fb2-4cae-bcec-c6134b2d2483") + ) + (no_connect + (at 262.89 64.77) + (uuid "6e07192c-41e7-4f99-8376-fbefd9b65f48") + ) + (no_connect + (at 247.65 52.07) + (uuid "6ef602ec-2980-4ee8-9d37-1ed5aeb58566") + ) + (no_connect + (at 166.37 107.95) + (uuid "6f74af00-56c8-4ed3-ae7f-68787bc3047c") + ) + (no_connect + (at 238.76 46.99) + (uuid "8a83b436-c19d-4e68-8763-e74012926f0b") + ) + (no_connect + (at 262.89 44.45) + (uuid "9ae40416-5d0f-43ff-9acd-0fdc4ea67ce7") + ) + (no_connect + (at 213.36 44.45) + (uuid "a01078b4-b311-4fcc-aa3a-f835beccdd67") + ) + (no_connect + (at 31.75 85.09) + (uuid "a4ee4eed-b924-4b07-91a6-d4bbaf7d5143") + ) + (no_connect + (at 247.65 62.23) + (uuid "b1c2e4b1-1f52-4dda-a8d4-9808bcf03db9") + ) + (no_connect + (at 262.89 49.53) + (uuid "b8807056-41eb-4f8d-b796-069c279e42f6") + ) + (no_connect + (at 166.37 95.25) + (uuid "daf933a4-4e3a-48c8-8947-6e92650dab27") + ) + (no_connect + (at 86.36 170.18) + (uuid "df234be8-25bd-4f29-941a-968866ce4446") + ) + (no_connect + (at 31.75 87.63) + (uuid "fe568fa4-65f8-4eca-9c3a-e0d56bf0a422") + ) + (bus_entry + (at 55.88 77.47) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "00670d65-7667-474d-9086-bc554c5b8ae7") + ) + (bus_entry + (at 95.25 46.99) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "024e70ba-d112-4a45-9c4a-771618919f83") + ) + (bus_entry + (at 55.88 67.31) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "04be1bd1-4448-4799-a65e-88402131a6da") + ) + (bus_entry + (at 95.25 54.61) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "0c8ec552-186e-4664-989b-5e2c646515c0") + ) + (bus_entry + (at 95.25 92.71) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "0ed60def-ae7c-45e0-b143-fed62f85a84a") + ) + (bus_entry + (at 127 54.61) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "1594bcfc-a834-43b5-adfd-7238c1e2b2ae") + ) + (bus_entry + (at 95.25 74.93) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "1980ca44-94b2-49f5-86df-824f4127defd") + ) + (bus_entry + (at 127 64.77) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "1f0f459e-eb70-4ee0-a540-33544ec02812") + ) + (bus_entry + (at 55.88 72.39) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "2560fb16-cc10-4dfc-a440-e71db57d03bb") + ) + (bus_entry + (at 129.54 52.07) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "274b053d-a8b3-4449-8878-aad493f6d183") + ) + (bus_entry + (at 129.54 44.45) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "2b4b6766-a9ba-4353-a0d1-55ba1e868b90") + ) + (bus_entry + (at 55.88 105.41) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "2bad9534-db02-4455-9554-f956b5eb5126") + ) + (bus_entry + (at 55.88 85.09) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "2f33535e-b8ab-44ec-b188-6d8ff905f6e6") + ) + (bus_entry + (at 55.88 69.85) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3a7a5106-04e5-4288-838c-064be5bbb068") + ) + (bus_entry + (at 55.88 95.25) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3b1ba674-0e8f-4880-9acb-09e7faf08bd7") + ) + (bus_entry + (at 95.25 67.31) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3b92e0a5-3c60-4c64-ae06-22fe1e0a97e3") + ) + (bus_entry + (at 95.25 80.01) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3c8eaaa2-3dce-4373-bbf2-81ce5fd26da4") + ) + (bus_entry + (at 95.25 62.23) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "4005770a-5bc3-47c3-a422-6bb71a8d853b") + ) + (bus_entry + (at 95.25 72.39) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "4257bcd9-782c-4332-8d9a-eab0d818d972") + ) + (bus_entry + (at 55.88 59.69) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "48c44b91-6247-4421-b0f3-45ef65918b98") + ) + (bus_entry + (at 55.88 57.15) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "5489b717-cc74-4755-adde-e5c5bbc81e38") + ) + (bus_entry + (at 127 57.15) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "56444886-a039-4cb7-b89b-28b399aa8f20") + ) + (bus_entry + (at 127 62.23) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "5c7b720f-44dd-4f01-a8d6-43faaec5eb77") + ) + (bus_entry + (at 129.54 46.99) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "6675058f-8b6e-49c6-a4f9-19910795bfa0") + ) + (bus_entry + (at 127 67.31) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "6bada767-0d7c-42f2-ae2b-09a1988e8f5a") + ) + (bus_entry + (at 55.88 87.63) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "6bc833ff-eda4-46cf-ac18-42df9c6ae983") + ) + (bus_entry + (at 55.88 110.49) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "6e68bd2d-aee5-40a2-b64f-6dacdcc955e1") + ) + (bus_entry + (at 55.88 107.95) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "720e1459-a377-487a-bbc5-1b424f149e19") + ) + (bus_entry + (at 55.88 100.33) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "742101ae-d6ad-4cdd-98e8-fa43c1dee65d") + ) + (bus_entry + (at 55.88 64.77) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "7696ac71-0f36-4df1-870a-56797762ddb9") + ) + (bus_entry + (at 55.88 82.55) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "776ce1cf-20e8-4d13-be8b-890aa13b6b17") + ) + (bus_entry + (at 95.25 82.55) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "7cff4f44-b371-4bff-92e2-bfeb0431948e") + ) + (bus_entry + (at 129.54 59.69) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "7db0ae5c-091c-4389-ba0b-ce6dee7d5f79") + ) + (bus_entry + (at 55.88 92.71) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "8337887d-1b8e-49b4-9b2b-3bfe454780ab") + ) + (bus_entry + (at 129.54 49.53) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "87e5f398-f839-4e94-b6e3-dd34eb8b1132") + ) + (bus_entry + (at 95.25 69.85) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "8965177f-ce4a-4a28-a938-8eef7e958ed5") + ) + (bus_entry + (at 95.25 52.07) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "89f75387-1333-47e7-8fc9-507a47509140") + ) + (bus_entry + (at 127 49.53) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "8ac8731b-7549-47a8-b926-e8ddfb96674b") + ) + (bus_entry + (at 55.88 49.53) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "8b3853fb-ada3-4f49-9d51-1c0ee3699464") + ) + (bus_entry + (at 95.25 49.53) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "8e465f47-030e-4ff1-9cc7-1cdc3760ff47") + ) + (bus_entry + (at 95.25 77.47) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "95699636-7ed5-4269-ab96-ad78012183a7") + ) + (bus_entry + (at 55.88 62.23) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "9bb33af6-aa33-40b3-a72d-b682bf6177cb") + ) + (bus_entry + (at 55.88 52.07) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "a3d770e9-91fa-4d98-acb7-4079fd1abd99") + ) + (bus_entry + (at 55.88 54.61) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "a9e33aee-677a-4642-a282-5f74b90b8b68") + ) + (bus_entry + (at 127 52.07) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "b5ad1f8b-19eb-49d9-ad96-e4ccc44e9f57") + ) + (bus_entry + (at 129.54 62.23) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "bc505ad0-83f2-41c0-8575-1e108856641d") + ) + (bus_entry + (at 55.88 80.01) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "c2563160-0ca5-4d0e-bf69-a1a4783717d5") + ) + (bus_entry + (at 55.88 97.79) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "dc8a33d3-28a6-4253-94a2-3017de4ce739") + ) + (bus_entry + (at 129.54 57.15) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "de1509b6-2fe3-410a-9490-a751618ba383") + ) + (bus_entry + (at 95.25 59.69) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "e03c4910-e38f-4d3f-a551-c35e0fd8d6ce") + ) + (bus_entry + (at 129.54 54.61) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "e5c974d7-384a-4167-b8ae-87aceb5813f9") + ) + (bus_entry + (at 127 59.69) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "e7e50d66-221c-40b2-a1cb-c6ea52fccc18") + ) + (bus_entry + (at 55.88 74.93) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "f2c4dc5d-9c89-4869-a3dd-9ca045799ef5") + ) + (bus_entry + (at 55.88 102.87) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "f43f2335-a7eb-4076-ba97-050584ebc5fe") + ) + (bus_entry + (at 95.25 64.77) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "fdc4c034-58d7-4208-ad71-1ac510af5d67") + ) + (bus_entry + (at 95.25 57.15) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "ff9aaf91-25f6-4820-8754-08dd047cecae") + ) + (wire + (pts + (xy 123.19 67.31) (xy 127 67.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "02e1ad87-1391-4f20-8675-1db4b153de7b") + ) + (bus + (pts + (xy 95.25 57.15) (xy 95.25 59.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "079a5570-feca-45eb-958f-6c1cd0d9bf9d") + ) + (wire + (pts + (xy 31.75 165.1) (xy 31.75 167.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "07df643d-706a-4f28-84a1-333aa0f3e8cc") + ) + (wire + (pts + (xy 52.07 77.47) (xy 55.88 77.47) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0d5afcb4-0578-4584-8e7e-1a24bf998e95") + ) + (wire + (pts + (xy 46.99 176.53) (xy 46.99 180.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0ec253b6-4663-4d25-8ff1-1d40811a2411") + ) + (wire + (pts + (xy 31.75 177.8) (xy 31.75 180.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0f11a5e0-da94-4149-a3cc-9fc3dbab43d3") + ) + (wire + (pts + (xy 177.8 92.71) (xy 177.8 82.55) + ) + (stroke + (width 0) + (type default) + ) + (uuid "11106ab4-1850-49fb-af7f-1610efa4489c") + ) + (bus + (pts + (xy 58.42 113.03) (xy 58.42 139.7) + ) + (stroke + (width 0) + (type default) + ) + (uuid "117298f9-c5a4-42ce-a05c-ed6f484aeb9d") + ) + (wire + (pts + (xy 52.07 92.71) (xy 55.88 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "153ec80c-d320-4928-83f2-2803cce38216") + ) + (wire + (pts + (xy 97.79 69.85) (xy 102.87 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "15bb8da0-da5e-4eea-adc8-62601d4acd2f") + ) + (wire + (pts + (xy 132.08 54.61) (xy 135.89 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1792a65f-8643-4037-ba76-b4c23e71eae6") + ) + (wire + (pts + (xy 166.37 69.85) (xy 186.69 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "19a79f81-8203-427f-936a-9052cc99df80") + ) + (bus + (pts + (xy 129.54 54.61) (xy 129.54 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1a176c20-ab64-4a29-937e-6d7f3954eeaa") + ) + (bus + (pts + (xy 95.25 74.93) (xy 95.25 77.47) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1ad4a592-cc45-435e-8127-42c9f6ee10a8") + ) + (bus + (pts + (xy 95.25 69.85) (xy 95.25 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1ae22695-32cb-4466-9f23-fb1c60a05a61") + ) + (wire + (pts + (xy 243.84 54.61) (xy 247.65 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1c0d71b2-2f10-4ffe-969a-41802df15bb4") + ) + (bus + (pts + (xy 95.25 77.47) (xy 95.25 80.01) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1d3c849b-e527-4198-98fa-25c680f613da") + ) + (wire + (pts + (xy 97.79 62.23) (xy 102.87 62.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "206c4df9-c451-44f2-bb9e-198663e04cc1") + ) + (wire + (pts + (xy 52.07 100.33) (xy 55.88 100.33) + ) + (stroke + (width 0) + (type default) + ) + (uuid "23e6cad3-5802-4936-84d1-8d3dea0d3d67") + ) + (bus + (pts + (xy 129.54 62.23) (xy 129.54 59.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "246188cc-c04a-473b-8eb4-7db01f987298") + ) + (wire + (pts + (xy 52.07 85.09) (xy 55.88 85.09) + ) + (stroke + (width 0) + (type default) + ) + (uuid "258c978e-c3b0-4261-a4cd-f45c954a69fe") + ) + (wire + (pts + (xy 177.8 82.55) (xy 186.69 82.55) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2660eeb6-98ec-4c0f-88cc-c4e1cd599d2d") + ) + (bus + (pts + (xy 129.54 49.53) (xy 129.54 46.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "26c98b64-e617-4690-81fd-04c998a43f7c") + ) + (wire + (pts + (xy 166.37 49.53) (xy 186.69 49.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "29f4ffe0-0f02-4a29-881f-359fcd090fe2") + ) + (wire + (pts + (xy 166.37 52.07) (xy 186.69 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2a0351b9-3ab6-42e2-aaf9-29df01a7fa6f") + ) + (bus + (pts + (xy 58.42 80.01) (xy 58.42 77.47) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2b0cef41-35ed-44ba-9b82-12dd43c41177") + ) + (bus + (pts + (xy 58.42 139.7) (xy 129.54 139.7) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2bc4b6bb-2908-441c-97d4-0f7bed69c626") + ) + (wire + (pts + (xy 243.84 49.53) (xy 243.84 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2f72b943-fc19-44d4-92de-a03f57df34d5") + ) + (wire + (pts + (xy 166.37 90.17) (xy 175.26 90.17) + ) + (stroke + (width 0) + (type default) + ) + (uuid "307fcdb6-f89b-4b5b-a344-3b01f0c73ee8") + ) + (wire + (pts + (xy 31.75 165.1) (xy 46.99 165.1) + ) + (stroke + (width 0) + (type default) + ) + (uuid "315229fe-f41f-403e-a476-19349c3f238a") + ) + (wire + (pts + (xy 52.07 74.93) (xy 55.88 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "31cf1589-765a-43d9-ad35-6dd4a807064c") + ) + (bus + (pts + (xy 95.25 54.61) (xy 95.25 57.15) + ) + (stroke + (width 0) + (type default) + ) + (uuid "330363c8-8502-4632-91b7-d7707aa0338e") + ) + (bus + (pts + (xy 58.42 82.55) (xy 58.42 80.01) + ) + (stroke + (width 0) + (type default) + ) + (uuid "36df2d23-6ab7-463a-8769-75657e5d2199") + ) + (bus + (pts + (xy 58.42 87.63) (xy 58.42 90.17) + ) + (stroke + (width 0) + (type default) + ) + (uuid "38289eaf-1fc8-476b-b3c6-8884c48773a7") + ) + (bus + (pts + (xy 95.25 52.07) (xy 95.25 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "38a13645-93a1-412c-9b8a-cba5ff9cfcd3") + ) + (wire + (pts + (xy 207.01 62.23) (xy 213.36 62.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3abb0f7b-4da6-43b9-a174-8f4f90ae27a0") + ) + (wire + (pts + (xy 52.07 80.01) (xy 55.88 80.01) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3c3b78ff-4d05-4500-95f3-88f43ba39d02") + ) + (bus + (pts + (xy 129.54 64.77) (xy 129.54 62.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "42f4c07d-26cc-499d-b125-2b86fbfe159a") + ) + (wire + (pts + (xy 125.73 72.39) (xy 135.89 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "441e9cc3-8abe-4c49-a2c0-d9a30fcc9458") + ) + (wire + (pts + (xy 166.37 57.15) (xy 186.69 57.15) + ) + (stroke + (width 0) + (type default) + ) + (uuid "452ec43a-a42e-4092-a60f-1cf067699255") + ) + (wire + (pts + (xy 52.07 67.31) (xy 55.88 67.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "471b71ee-3a04-422b-9513-6ee238d00e57") + ) + (bus + (pts + (xy 58.42 95.25) (xy 58.42 97.79) + ) + (stroke + (width 0) + (type default) + ) + (uuid "47b971b7-b000-44a1-bfb5-cad8dbc920dd") + ) + (wire + (pts + (xy 102.87 95.25) (xy 102.87 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "47da03c3-18d4-4a9d-bbab-79e6065ad1a7") + ) + (wire + (pts + (xy 46.99 165.1) (xy 50.8 165.1) + ) + (stroke + (width 0) + (type default) + ) + (uuid "47e425fd-b29b-4045-89bd-a8acb679798e") + ) + (wire + (pts + (xy 97.79 67.31) (xy 102.87 67.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4adc0663-ca17-4702-8d02-8dda6a52b296") + ) + (wire + (pts + (xy 238.76 44.45) (xy 243.84 44.45) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4b01d69d-2bd1-43db-acaa-3eda2dc4e480") + ) + (wire + (pts + (xy 46.99 165.1) (xy 46.99 168.91) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4bd4de36-dae9-43f5-9f96-5894fd8c3228") + ) + (wire + (pts + (xy 175.26 80.01) (xy 186.69 80.01) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4cbd84bb-a10b-4f03-bf98-dc9ef8655b12") + ) + (wire + (pts + (xy 97.79 52.07) (xy 102.87 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4df753bf-61b1-448f-b9ff-cbb571d28a77") + ) + (wire + (pts + (xy 207.01 49.53) (xy 213.36 49.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4e850c4f-086f-49fd-b6c8-e22e7172318a") + ) + (wire + (pts + (xy 52.07 69.85) (xy 55.88 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4fdd2fc4-ad2d-4299-933a-b3f8f53145fa") + ) + (bus + (pts + (xy 58.42 110.49) (xy 58.42 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "50f56c82-04a6-40c0-a6f5-92c314f1b297") + ) + (bus + (pts + (xy 58.42 59.69) (xy 58.42 57.15) + ) + (stroke + (width 0) + (type default) + ) + (uuid "527e8536-b1d3-4f61-9beb-4ab03035470c") + ) + (wire + (pts + (xy 132.08 46.99) (xy 135.89 46.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "52e8b1c1-a4ad-45db-bf02-5d0826a8afaa") + ) + (bus + (pts + (xy 58.42 107.95) (xy 58.42 110.49) + ) + (stroke + (width 0) + (type default) + ) + (uuid "53377dfd-6670-4ed4-ab35-043a0b37f5a1") + ) + (bus + (pts + (xy 58.42 39.37) (xy 95.25 39.37) + ) + (stroke + (width 0) + (type default) + ) + (uuid "548bbce8-e7ae-4e55-bac7-fa942fbd98db") + ) + (wire + (pts + (xy 207.01 54.61) (xy 213.36 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "560fd895-964a-4cc4-bf7b-e44bc34b0a1c") + ) + (wire + (pts + (xy 170.18 85.09) (xy 170.18 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5613c058-1752-4e1e-a590-b714fed74475") + ) + (bus + (pts + (xy 58.42 100.33) (xy 58.42 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "56b856d7-1909-4def-b7df-27ce585288b5") + ) + (wire + (pts + (xy 52.07 62.23) (xy 55.88 62.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "57b668d6-5ab6-408a-824f-6a3786eace0c") + ) + (bus + (pts + (xy 129.54 59.69) (xy 129.54 57.15) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5918538f-4fec-4071-b7b6-04b1bb5e5886") + ) + (wire + (pts + (xy 52.07 72.39) (xy 55.88 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "599ba8aa-b3bf-4d9a-8caa-565fc1d1c350") + ) + (wire + (pts + (xy 120.65 118.11) (xy 125.73 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5a891b87-f988-4f4d-abe5-6c3bff3cdf61") + ) + (bus + (pts + (xy 129.54 69.85) (xy 129.54 67.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5b45bd10-d799-4ed4-bfc0-a6f5c8104765") + ) + (wire + (pts + (xy 39.37 180.34) (xy 46.99 180.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5b618f34-bfcc-45b3-a901-f341d82bf45f") + ) + (wire + (pts + (xy 207.01 52.07) (xy 213.36 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5c481567-66dd-45ae-9746-e8d931ea7afc") + ) + (bus + (pts + (xy 129.54 44.45) (xy 129.54 46.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5fb5aa32-d360-4d0c-82b9-0e8bfdf25df4") + ) + (wire + (pts + (xy 123.19 64.77) (xy 127 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6024d466-7438-4998-9565-ea0b9c0da2aa") + ) + (bus + (pts + (xy 129.54 57.15) (xy 129.54 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "61152352-6fe2-4ed3-bda6-e2141b88c0b1") + ) + (wire + (pts + (xy 52.07 95.25) (xy 55.88 95.25) + ) + (stroke + (width 0) + (type default) + ) + (uuid "619d919d-4802-497c-8bde-0c0194be309e") + ) + (wire + (pts + (xy 52.07 49.53) (xy 55.88 49.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "62887a9a-8ece-419b-aee3-114fb960d093") + ) + (bus + (pts + (xy 129.54 67.31) (xy 129.54 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "63a27f19-a630-42ce-b3e2-4aafc5c6a0aa") + ) + (bus + (pts + (xy 58.42 52.07) (xy 58.42 39.37) + ) + (stroke + (width 0) + (type default) + ) + (uuid "655bb89a-0a72-4693-82bb-10be71b72da4") + ) + (wire + (pts + (xy 166.37 62.23) (xy 186.69 62.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6717a8b0-18de-46b6-a2d4-b15e3c5a96fa") + ) + (wire + (pts + (xy 166.37 92.71) (xy 177.8 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6a90a6b0-d837-4b6b-bcd0-435851dbaa05") + ) + (bus + (pts + (xy 58.42 77.47) (xy 58.42 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6ccb4c5b-aaa4-44b2-bb57-2befe93be796") + ) + (wire + (pts + (xy 132.08 62.23) (xy 135.89 62.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6cdd0a2e-37fc-4212-938c-b3c49c1ac77a") + ) + (wire + (pts + (xy 97.79 72.39) (xy 102.87 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6d0ba124-4da6-4c94-926d-61ae96ae3bfa") + ) + (wire + (pts + (xy 97.79 54.61) (xy 102.87 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "73c90891-0c9c-49aa-9b29-388d1b2c214e") + ) + (bus + (pts + (xy 95.25 67.31) (xy 95.25 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "745714c2-904d-4d00-abb3-c32c6f8b39fd") + ) + (bus + (pts + (xy 58.42 72.39) (xy 58.42 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "74ab4808-834a-432a-9f5e-60545b9b4958") + ) + (wire + (pts + (xy 166.37 87.63) (xy 172.72 87.63) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7557f411-ccb5-4f76-adb1-75e4cc2036f8") + ) + (wire + (pts + (xy 52.07 82.55) (xy 55.88 82.55) + ) + (stroke + (width 0) + (type default) + ) + (uuid "758d98d3-b22c-4234-9e88-9effa5e79c47") + ) + (wire + (pts + (xy 97.79 77.47) (xy 102.87 77.47) + ) + (stroke + (width 0) + (type default) + ) + (uuid "759e2045-29f9-4555-9b13-82794ec0e27d") + ) + (bus + (pts + (xy 58.42 57.15) (xy 58.42 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "75c540aa-ef1f-4f1a-88c7-84470933a1da") + ) + (wire + (pts + (xy 132.08 57.15) (xy 135.89 57.15) + ) + (stroke + (width 0) + (type default) + ) + (uuid "79f99afb-eadf-40e7-933a-0b3d97eb6aed") + ) + (bus + (pts + (xy 129.54 69.85) (xy 129.54 139.7) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7d26d4f4-6bb8-4e9f-953d-71773d74d137") + ) + (wire + (pts + (xy 52.07 97.79) (xy 55.88 97.79) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7dc49aef-5bbd-4fa7-8065-60e77ee49481") + ) + (wire + (pts + (xy 97.79 49.53) (xy 102.87 49.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7edaabcc-aa44-42db-b46f-b66c5d924feb") + ) + (bus + (pts + (xy 58.42 64.77) (xy 58.42 62.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7f342f8b-0d8c-4b59-a375-614565fd401a") + ) + (wire + (pts + (xy 52.07 57.15) (xy 55.88 57.15) + ) + (stroke + (width 0) + (type default) + ) + (uuid "80d180eb-1a02-4cef-9299-a17542b50b44") + ) + (wire + (pts + (xy 123.19 57.15) (xy 127 57.15) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8140bf81-518a-4f00-95c6-7087277ec307") + ) + (wire + (pts + (xy 52.07 102.87) (xy 55.88 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "82f410e4-8e12-46a2-9c5c-e7373a1895b3") + ) + (wire + (pts + (xy 97.79 80.01) (xy 102.87 80.01) + ) + (stroke + (width 0) + (type default) + ) + (uuid "863c6b11-1445-4efa-ba30-eba0b28ee228") + ) + (wire + (pts + (xy 186.69 90.17) (xy 186.69 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "87089e8e-a797-4fd9-ab61-635e92b31dff") + ) + (wire + (pts + (xy 52.07 87.63) (xy 55.88 87.63) + ) + (stroke + (width 0) + (type default) + ) + (uuid "882f248c-cb14-4756-acce-adcdce94721d") + ) + (wire + (pts + (xy 123.19 62.23) (xy 127 62.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "88ca12e3-7751-4892-bc34-b0354c9252fc") + ) + (wire + (pts + (xy 123.19 54.61) (xy 127 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8b9c8c5d-90be-4762-8288-11480483d479") + ) + (bus + (pts + (xy 129.54 52.07) (xy 129.54 49.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8cb2d17c-fffe-496e-9350-9289f1d545eb") + ) + (wire + (pts + (xy 132.08 64.77) (xy 135.89 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8df610c0-1622-4172-9873-1686a698249d") + ) + (wire + (pts + (xy 207.01 57.15) (xy 213.36 57.15) + ) + (stroke + (width 0) + (type default) + ) + (uuid "90d3506e-635c-48c6-8437-7b576fd7f9f6") + ) + (bus + (pts + (xy 95.25 59.69) (xy 95.25 62.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "91852d8a-6132-4b58-ac62-8d7c332df7cd") + ) + (wire + (pts + (xy 31.75 180.34) (xy 39.37 180.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "93b3aa60-44c4-4730-97bd-17fc5dc7a633") + ) + (wire + (pts + (xy 52.07 64.77) (xy 55.88 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "94280e93-a363-4080-8f3f-64b36d4bfcc1") + ) + (wire + (pts + (xy 52.07 107.95) (xy 55.88 107.95) + ) + (stroke + (width 0) + (type default) + ) + (uuid "94ed5ced-0f8c-4ada-8977-fc30dc98c769") + ) + (wire + (pts + (xy 207.01 64.77) (xy 213.36 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "95e02ee3-9c94-4887-988f-38469720db23") + ) + (wire + (pts + (xy 123.19 52.07) (xy 127 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9784768e-5455-4620-98e7-8cec557c7abb") + ) + (wire + (pts + (xy 97.79 59.69) (xy 102.87 59.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "99035bda-3241-4906-a0bf-328b3ceeac3e") + ) + (wire + (pts + (xy 52.07 52.07) (xy 55.88 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9ada7652-e19a-4452-913c-3d83244f652e") + ) + (wire + (pts + (xy 243.84 44.45) (xy 243.84 49.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9f3fa735-626c-410f-b60b-f6c35b468dcc") + ) + (wire + (pts + (xy 166.37 64.77) (xy 186.69 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a4960702-d1ab-4d08-9be1-9cad78f7b227") + ) + (wire + (pts + (xy 97.79 64.77) (xy 102.87 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a5238e42-389a-451c-be3b-317efba858fe") + ) + (wire + (pts + (xy 175.26 90.17) (xy 175.26 80.01) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a7e2a25b-495b-4217-b284-a2da68eac411") + ) + (wire + (pts + (xy 132.08 49.53) (xy 135.89 49.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a8f04950-6bb2-4246-9739-237283dd4d68") + ) + (bus + (pts + (xy 58.42 74.93) (xy 58.42 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a92b4cea-b67e-4d58-9461-6d595fd2987f") + ) + (bus + (pts + (xy 58.42 105.41) (xy 58.42 107.95) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a93b2c24-5c3f-46b7-9cd4-3aa4c6b0a05e") + ) + (wire + (pts + (xy 166.37 46.99) (xy 186.69 46.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "aa2558f9-83fd-4624-a8ee-8e3a55e1374d") + ) + (wire + (pts + (xy 170.18 74.93) (xy 186.69 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "aa787657-601f-48de-b320-3d30aaf0c42f") + ) + (wire + (pts + (xy 166.37 59.69) (xy 186.69 59.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ac4b0321-360b-4387-8ba8-52cfba44bce5") + ) + (bus + (pts + (xy 95.25 64.77) (xy 95.25 67.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b3cf4477-5c20-4db7-a89e-a533a03cff5e") + ) + (wire + (pts + (xy 102.87 118.11) (xy 105.41 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b3df1ef2-d1b1-45d6-9bec-fe54c3f58a45") + ) + (bus + (pts + (xy 95.25 80.01) (xy 95.25 82.55) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b4509e71-2d52-4f70-8963-50a79810d4bf") + ) + (wire + (pts + (xy 166.37 54.61) (xy 186.69 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b5e6b3b5-60e8-4409-b3a4-8e2f336aa11d") + ) + (bus + (pts + (xy 58.42 54.61) (xy 58.42 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b71c8f37-4e0c-41f5-b23b-978acd57ee54") + ) + (bus + (pts + (xy 58.42 102.87) (xy 58.42 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b7735c6a-d318-4b56-b1cc-76b63b18d2ec") + ) + (bus + (pts + (xy 58.42 85.09) (xy 58.42 87.63) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b9bfca9e-1b52-4a36-8690-86c0bce78250") + ) + (wire + (pts + (xy 123.19 49.53) (xy 127 49.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bb93f1cb-1a2c-4fd1-a442-c1da5309c452") + ) + (wire + (pts + (xy 132.08 59.69) (xy 135.89 59.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bec88b2d-330b-4641-8ae7-e84b1100cd11") + ) + (wire + (pts + (xy 52.07 54.61) (xy 55.88 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bedd44ea-a3d1-41c4-9c20-60d368186815") + ) + (bus + (pts + (xy 58.42 97.79) (xy 58.42 100.33) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bf39a45b-4c8d-4987-829f-ec7ad0c0610a") + ) + (wire + (pts + (xy 243.84 44.45) (xy 247.65 44.45) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bf40142a-c6ab-4c84-9af4-125e9c9489c0") + ) + (wire + (pts + (xy 97.79 82.55) (xy 102.87 82.55) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c0cf203a-55b8-4238-9c11-e678ebd0e98a") + ) + (bus + (pts + (xy 95.25 72.39) (xy 95.25 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c259a7e0-570a-486a-ab32-e4f29120d8f5") + ) + (wire + (pts + (xy 132.08 52.07) (xy 135.89 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c3f73a3c-1a35-43c9-9072-d025cc752e2e") + ) + (wire + (pts + (xy 207.01 46.99) (xy 213.36 46.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c4ee3f22-4523-43b9-962d-49601f976989") + ) + (wire + (pts + (xy 97.79 95.25) (xy 102.87 95.25) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c66b9e42-d97f-4ea7-8d47-439c075a9165") + ) + (wire + (pts + (xy 52.07 110.49) (xy 55.88 110.49) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ca731331-00ec-4c11-be32-4c9030b1148e") + ) + (wire + (pts + (xy 243.84 49.53) (xy 247.65 49.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "caea8bc8-197c-4725-b0a3-9852bbfac026") + ) + (wire + (pts + (xy 123.19 59.69) (xy 127 59.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ccdd9e78-bb75-4e11-82c6-e96f80558175") + ) + (wire + (pts + (xy 207.01 59.69) (xy 213.36 59.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d1e9c456-cd9f-4bbc-a846-9cc57dac65dd") + ) + (bus + (pts + (xy 95.25 39.37) (xy 95.25 46.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d30290a7-a8ee-481b-b413-26ca7f7dabc7") + ) + (bus + (pts + (xy 58.42 69.85) (xy 58.42 67.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d60c1889-216c-48a6-9146-8caf1638f6f7") + ) + (wire + (pts + (xy 172.72 87.63) (xy 172.72 77.47) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d612a3c2-84e3-4878-aa02-837f03dbf402") + ) + (wire + (pts + (xy 52.07 105.41) (xy 55.88 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d64017e0-1a06-44ec-842e-eb5c23379cbf") + ) + (wire + (pts + (xy 125.73 118.11) (xy 125.73 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d7925a06-1fec-4a3a-ab50-9ddd7abb8374") + ) + (wire + (pts + (xy 97.79 85.09) (xy 102.87 85.09) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d802d432-8aa4-41b6-a1e5-c9acc25250a0") + ) + (wire + (pts + (xy 52.07 59.69) (xy 55.88 59.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d848786d-77d2-4681-be56-f35b680f5056") + ) + (wire + (pts + (xy 166.37 67.31) (xy 186.69 67.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d8ed7c98-1071-46b2-b262-7dace0a8c200") + ) + (bus + (pts + (xy 58.42 67.31) (xy 58.42 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d973ef86-8b95-46eb-82dc-68e1c1eb3b69") + ) + (bus + (pts + (xy 95.25 46.99) (xy 95.25 49.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "df0543f8-26a9-4c4c-a44b-8b9993306659") + ) + (wire + (pts + (xy 97.79 74.93) (xy 102.87 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e201e7f7-ff6e-4809-aa61-1414ffaf11e9") + ) + (wire + (pts + (xy 172.72 77.47) (xy 186.69 77.47) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e26e14ef-dbc0-4e76-aec0-f88398a8654c") + ) + (bus + (pts + (xy 95.25 49.53) (xy 95.25 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e553fec1-a616-4e46-bc60-0452f42bc866") + ) + (bus + (pts + (xy 58.42 82.55) (xy 58.42 85.09) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ea3e2418-cf41-4f6b-8020-49fa64a1fbc3") + ) + (wire + (pts + (xy 166.37 72.39) (xy 186.69 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ea98723e-aada-4117-af40-16a1cd878e71") + ) + (bus + (pts + (xy 95.25 82.55) (xy 95.25 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ef448a59-5cc8-4b97-a212-a90d2a216358") + ) + (bus + (pts + (xy 58.42 62.23) (xy 58.42 59.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f526e812-f276-45aa-b540-a24483b054b2") + ) + (wire + (pts + (xy 166.37 85.09) (xy 170.18 85.09) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f906e43e-244c-48d9-b520-addeefa08f09") + ) + (bus + (pts + (xy 95.25 62.23) (xy 95.25 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f9e6547f-f671-4a47-8db7-b7ca9842b961") + ) + (wire + (pts + (xy 97.79 57.15) (xy 102.87 57.15) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fe59038b-5f0c-4190-9887-388a105e05eb") + ) + (wire + (pts + (xy 102.87 92.71) (xy 102.87 95.25) + ) + (stroke + (width 0) + (type default) + ) + (uuid "febca1e8-aeca-4347-97bb-efc5b7a504fa") + ) + (text "CPU necessary only to for initial CRTC settings" + (exclude_from_sim no) + (at 47.244 131.826 0) + (effects + (font + (size 1.27 1.27) + ) + ) + (uuid "1cb5623b-041d-4faa-94c4-8cf192838c7d") + ) + (text "Prints the contents of character rom to screen" + (exclude_from_sim no) + (at 230.632 173.736 0) + (effects + (font + (size 2 2) + ) + ) + (uuid "ada54b84-159f-45ce-912b-39cd831e2b30") + ) + (label "A4" + (at 55.88 59.69 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "01d2a361-d115-41ab-a8fa-95666d2c08fa") + ) + (label "~{DE}" + (at 213.36 77.47 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "0296afca-9b2e-4745-97ab-a132160e45bd") + ) + (label "D3" + (at 55.88 100.33 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "04540db2-2048-46da-a4ac-ba8b7b18357d") + ) + (label "A6" + (at 97.79 64.77 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "05d00a53-ea5a-45e1-9a9c-8bf86a68dd41") + ) + (label "A11" + (at 55.88 77.47 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "06c5bee2-bc01-4d76-9295-c9d259b4801a") + ) + (label "D0" + (at 55.88 92.71 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "07586c2a-84c0-4b45-b0a1-073439efc517") + ) + (label "A9" + (at 55.88 72.39 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "077cf2e2-4b00-48b9-9c03-5edc69a3b9f0") + ) + (label "D7" + (at 55.88 110.49 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "0ffec6cb-aea5-466b-8cc3-a554580edd89") + ) + (label "A10" + (at 97.79 74.93 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "1019ecec-1e10-4c60-aa0b-c280196bbfd0") + ) + (label "D7" + (at 127 67.31 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "12502d96-f052-40fe-8669-dfd87313d1dd") + ) + (label "~{RESET}" + (at 31.75 49.53 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "12abb703-f386-466e-af10-b7fc7ea6b31e") + ) + (label "1M" + (at 139.7 175.26 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "1314a1dc-fbb2-4cc6-970c-c8528d230497") + ) + (label "~{RESET}" + (at 135.89 80.01 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "1e13c053-3f36-4d85-98db-3871f210a4cd") + ) + (label "D2" + (at 55.88 97.79 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "1e7f36f7-fb83-46e6-9a2f-50b8ce66677a") + ) + (label "D5" + (at 127 62.23 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "1f8e7460-3b8e-4b03-80e4-174d759b3439") + ) + (label "A2" + (at 97.79 54.61 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "28a881ee-f828-4ddc-a644-19ee60f72a05") + ) + (label "D1" + (at 132.08 49.53 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "2a259b1f-82c2-4308-a912-905f21427298") + ) + (label "D6" + (at 127 64.77 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "2b146207-2643-4b65-9997-e3bfd2a1a594") + ) + (label "D5" + (at 55.88 105.41 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "310a484c-b211-4099-95a9-c209eb9f13c7") + ) + (label "A9" + (at 97.79 72.39 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "31e20063-b511-49de-8d79-e63da4dc06d6") + ) + (label "VSYNC" + (at 166.37 105.41 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "346f8ad9-0deb-4864-8cc2-801609e50bb9") + ) + (label "R{slash}~{W}" + (at 135.89 69.85 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "34c6f286-0447-46dd-abb2-234d42960609") + ) + (label "A10" + (at 55.88 74.93 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "352f0c7b-5bb7-4e83-846c-96a00cf1a96b") + ) + (label "A4" + (at 97.79 59.69 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "396f617a-0094-4fe9-9302-67e7640816dc") + ) + (label "A7" + (at 55.88 67.31 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "3ca922cd-3b76-4fbf-83bf-b53379eb07b2") + ) + (label "D2" + (at 132.08 52.07 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "3f0981e3-ca86-4bb8-9d78-3cbbf655b21c") + ) + (label "4M" + (at 139.7 170.18 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "402873e8-585e-4a01-918c-d434ed3a1fec") + ) + (label "A3" + (at 97.79 57.15 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "4a057cae-ca59-4a1a-8ad2-66e503ac3bf4") + ) + (label "8M" + (at 139.7 167.64 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "4a888fed-91a2-470a-9208-35f631be9a83") + ) + (label "D4" + (at 55.88 102.87 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "52d05b30-5e54-470e-9234-c035c7b5c259") + ) + (label "A0" + (at 135.89 74.93 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "53962e4a-6204-43d7-8e48-011a350396b6") + ) + (label "2M" + (at 31.75 54.61 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "5c47fcb3-d8d0-4bbe-a643-cde9f636fd8d") + ) + (label "DE" + (at 214.63 104.14 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "5df07fd8-1ce6-407f-ab82-1935a53fd370") + ) + (label "D0" + (at 132.08 46.99 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "609ab1ff-2fd1-4851-81a3-d7312c18e77b") + ) + (label "~{RESET}" + (at 50.8 165.1 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "6161c788-b5d4-4d71-b0ee-44824dc7cc13") + ) + (label "D6" + (at 55.88 107.95 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "6264fe4e-e44e-47a0-b16b-7e6737c32630") + ) + (label "A13" + (at 97.79 82.55 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "659ba0f9-3f4e-451c-ba7e-5febebef9818") + ) + (label "D7" + (at 132.08 64.77 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "6664da2d-5047-470f-9445-d8aab0be999c") + ) + (label "A15" + (at 97.79 95.25 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "672426bd-202f-417c-b4ea-f92d2f64b51c") + ) + (label "A12" + (at 97.79 80.01 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "73b1e3d4-19c4-49b2-8377-a90bbe733ebc") + ) + (label "D4" + (at 132.08 57.15 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "77284ab7-7ef9-48c4-89f3-3793cb66e70b") + ) + (label "D6" + (at 132.08 62.23 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "7a9f0caa-8353-4c38-b749-0dd19b48fab7") + ) + (label "A12" + (at 55.88 80.01 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "7b3e8244-bc7c-49e6-9929-a0451abde93e") + ) + (label "16M" + (at 114.3 170.18 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "7d289b1e-2b27-4bd3-a6f7-8c19dd971011") + ) + (label "D3" + (at 127 57.15 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "86e9f748-8d20-491e-a6e0-9b9bcfd4454c") + ) + (label "A0" + (at 55.88 49.53 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "886637e9-3dec-41d7-b7b1-840c45639a56") + ) + (label "16M" + (at 213.36 74.93 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "8a4607f3-1cdc-4bca-b5b9-5b7d0567a67e") + ) + (label "~{DE}" + (at 229.87 104.14 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "90e70f5e-0233-49b8-80af-b5e22891a2d0") + ) + (label "16M" + (at 101.6 170.18 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "9420758e-f9b4-4b78-aa5c-bd4553f699cf") + ) + (label "A1" + (at 97.79 52.07 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "95690336-8cfc-4593-9c90-8c48fd020dc6") + ) + (label "D1" + (at 55.88 95.25 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "96ae221f-5e4a-4e6d-a164-c0dc4a68336e") + ) + (label "D1" + (at 127 52.07 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "9925400a-1148-498f-98cf-e048325a5e42") + ) + (label "A2" + (at 55.88 54.61 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "9a665c56-5a9c-4237-ba6d-e9ea363b364b") + ) + (label "VSYNC" + (at 262.89 59.69 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "9fa4de27-0142-4f46-b565-36ed32fe5a90") + ) + (label "A8" + (at 97.79 69.85 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "a0026213-cf05-4757-9262-e038ecb064d4") + ) + (label "2M" + (at 135.89 85.09 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "a0e50631-d10a-4132-95bc-e1e6923bd266") + ) + (label "HSYNC" + (at 166.37 102.87 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "a96059fe-8849-43fa-be92-07f78f1d3da4") + ) + (label "A1" + (at 55.88 52.07 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "b5ec5b54-d9e9-490e-8115-5979077ccfd5") + ) + (label "A13" + (at 55.88 82.55 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "b67865b0-c8b4-4e0a-b5e1-7ef662e7f65d") + ) + (label "A14" + (at 55.88 85.09 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "b918f34e-82f5-47de-83c7-4959be8d5092") + ) + (label "A0" + (at 97.79 49.53 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "bb7550a1-f000-44e2-b96f-3e3c614e4ebb") + ) + (label "D5" + (at 132.08 59.69 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "c030e59c-9e0a-4201-90e8-76e60cb39caa") + ) + (label "A5" + (at 97.79 62.23 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "c05d0c5e-d6d4-4982-a755-fd8d2c940164") + ) + (label "D2" + (at 127 54.61 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "c1942bd0-f31e-4b92-9f5d-7222894667c5") + ) + (label "A7" + (at 97.79 67.31 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "c8a71b7b-46ab-4024-8c44-bff87cf83208") + ) + (label "R{slash}~{W}" + (at 31.75 72.39 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "c9cad9df-6700-4f4f-907d-8dce4f536379") + ) + (label "2M" + (at 139.7 172.72 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "d1a296e7-c43a-447d-b9d5-f0757bc1ca24") + ) + (label "D3" + (at 132.08 54.61 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "d25989d2-a8a0-432b-9d49-654279d1e7d8") + ) + (label "A3" + (at 55.88 57.15 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "d65dd47a-76f5-431b-8d25-9f2afc1cb463") + ) + (label "A11" + (at 97.79 77.47 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "dd543600-ee6b-473d-ade6-0eb0fb8ebaf2") + ) + (label "A6" + (at 55.88 64.77 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "e149685b-8563-4fee-a96d-0fe3559de718") + ) + (label "HSYNC" + (at 262.89 54.61 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "e85f5d9c-b3fa-4b4f-a8c9-68744dae96d6") + ) + (label "A15" + (at 55.88 87.63 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "e8d1a38f-5055-44e6-8683-55ae3a088d20") + ) + (label "A8" + (at 55.88 69.85 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "ebe59f5f-d5e5-4ca8-9ec1-f4e6145a4639") + ) + (label "D0" + (at 127 49.53 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "ec685bb8-faf1-4f03-8916-5e0bbfa5fd26") + ) + (label "A14" + (at 97.79 85.09 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "f28f3f8c-43fd-46ba-8b1d-15373a954435") + ) + (label "A5" + (at 55.88 62.23 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "f2fafbf5-2415-436b-9aaf-ca6b2d686bd0") + ) + (label "DE" + (at 166.37 100.33 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "f6ab3d44-d745-4a45-ab3d-664b006e673b") + ) + (label "D4" + (at 127 59.69 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "fcbfbc7d-2b52-405d-89b6-6bc5c9a5a13d") + ) + (symbol + (lib_id "power:+5V") + (at 135.89 77.47 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "000a6b31-ea13-4a86-afc2-6035ee2c741e") + (property "Reference" "#PWR031" + (at 139.7 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 132.08 77.4699 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 135.89 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 135.89 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 135.89 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "79f887e8-147f-4baa-9b95-57a7ef139870") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR031") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 102.87 90.17 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "00772bcc-0b26-4633-abe0-bbd5b94f09e2") + (property "Reference" "#PWR035" + (at 96.52 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 99.06 90.1699 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 102.87 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 102.87 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 102.87 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "82308707-bd8b-4699-90dd-68ef10615c44") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR035") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 196.85 41.91 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "023f7334-8e34-45ff-af76-47efb8138dae") + (property "Reference" "#PWR03" + (at 196.85 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 196.85 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 196.85 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 196.85 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 196.85 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "4574c3bf-8ed7-42b9-8121-5d70c8e1917a") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR03") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 27.94 165.1 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0c4a8e52-305b-47f7-94f8-951d3f831718") + (property "Reference" "R1" + (at 27.94 158.75 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "1k" + (at 27.94 161.29 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 27.94 166.878 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 27.94 165.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 27.94 165.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "26ca2aa8-3b07-4410-a893-348881d8b159") + ) + (pin "2" + (uuid "17760a05-e6cf-4944-9c55-4ffa5593efef") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "R1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 213.36 69.85 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0f2dc8f7-cbd3-4f89-8e98-7d88c3f61267") + (property "Reference" "#PWR018" + (at 207.01 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 209.55 69.8499 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 213.36 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 213.36 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 213.36 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "66cedf49-0298-46ea-802b-029567d4c432") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR018") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 68.58 160.02 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "117059dd-b9ee-4ca4-92e9-a337525c40da") + (property "Reference" "#PWR011" + (at 68.58 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 68.58 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 68.58 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 68.58 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 68.58 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f9bd5f30-32ac-457b-a2ec-ca969b3b59f7") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR011") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 153.67 185.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "13a4246c-9655-4e4f-9c8c-ffd4a255250e") + (property "Reference" "#PWR020" + (at 153.67 191.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 153.67 190.5 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 153.67 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 153.67 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 153.67 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "e85c6c3f-bf7b-4f5e-b4a8-bb0a236ae95c") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR020") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "george:MC6845") + (at 151.13 77.47 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "15366ae6-fd73-4f9c-bbcd-871b55cc66ce") + (property "Reference" "U2" + (at 153.3241 39.37 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "MC6845" + (at 153.3241 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-40_W15.24mm" + (at 152.4 111.76 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + (property "Datasheet" "http://pdf.datasheetcatalog.com/datasheet_pdf/motorola/MC6845L_and_MC6845P.pdf" + (at 151.13 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "CRT Controller 1MHz, DIP-40" + (at 151.13 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "10" + (uuid "91a50820-f5a9-4445-a1ff-66e91975afda") + ) + (pin "19" + (uuid "6c2ae06f-3883-4fd3-b138-3ef96ee19c96") + ) + (pin "1" + (uuid "59c7898e-4200-4978-b966-28db554ddb9d") + ) + (pin "17" + (uuid "4c9eeb9f-cb59-4c06-8e65-ea200fb972be") + ) + (pin "40" + (uuid "f7fc490f-aaf1-46ed-b268-521d90779e24") + ) + (pin "18" + (uuid "f2dcc3ca-f52c-45dc-bd08-04c3e32cb17c") + ) + (pin "30" + (uuid "a3c1efcd-3a55-44ea-b4f2-fd433ea9f32f") + ) + (pin "20" + (uuid "62c62be3-744f-4c35-9f4b-81376a998e15") + ) + (pin "16" + (uuid "e028d8e1-5b94-44ea-a157-936fad68e0b0") + ) + (pin "9" + (uuid "7c83f14e-2718-4b87-b289-fccd4b8c04ca") + ) + (pin "34" + (uuid "35ef57f8-f1bc-4e0a-a769-aa3708109054") + ) + (pin "27" + (uuid "10b21721-af23-46ac-80ec-f83938ddf42f") + ) + (pin "3" + (uuid "75d355ae-3510-4b0f-a2f5-ae1bff222328") + ) + (pin "8" + (uuid "d3082156-2e53-4212-a243-3d96f32ea12a") + ) + (pin "26" + (uuid "bc9b8ee9-ca47-498b-84d8-1bc935b8b023") + ) + (pin "31" + (uuid "027ab006-42a7-42f1-aa88-3d9e1d5fa948") + ) + (pin "36" + (uuid "ac977401-5e09-46cf-b5ca-c0a602914ffc") + ) + (pin "37" + (uuid "b60321fe-b267-43e6-9544-8f4b77a2dc7d") + ) + (pin "21" + (uuid "9b0f654a-2174-4c41-b510-34a982776b6d") + ) + (pin "32" + (uuid "be542b08-b8a7-4299-a3cf-f5ca24263811") + ) + (pin "15" + (uuid "385ed883-a18d-4d1f-86dd-41b03d27fb5d") + ) + (pin "33" + (uuid "38843cfe-57f4-4001-8b5d-fb1ba5504edb") + ) + (pin "28" + (uuid "779d9f06-0862-4d80-85ba-d263a9759907") + ) + (pin "29" + (uuid "3f3f0473-0455-460c-8d03-d7f9eb5857a4") + ) + (pin "22" + (uuid "67972a26-f682-4a3b-8409-ee333c5c1329") + ) + (pin "35" + (uuid "34f9d2b7-e0b3-41e9-bc3f-6ba7e8ce2d19") + ) + (pin "23" + (uuid "006d738d-f89c-4bfb-958e-2723a5702877") + ) + (pin "6" + (uuid "bbe3b82a-f396-4bc6-a324-85f5a4e77cab") + ) + (pin "24" + (uuid "6b8183e9-6d05-4fc7-b348-c056dd0298cf") + ) + (pin "4" + (uuid "056bb2bd-e590-4d67-a62f-dd99cf570b57") + ) + (pin "13" + (uuid "ed468b1f-3e00-432f-98b8-1370a2fedce0") + ) + (pin "38" + (uuid "798aae1f-82a9-4351-9a5d-3359bb24db36") + ) + (pin "11" + (uuid "57670d8b-1135-4a07-92be-91f1ce24cdd3") + ) + (pin "14" + (uuid "015c1935-0166-4a4f-99ba-4c70d33c2f60") + ) + (pin "25" + (uuid "dba54f28-3b87-4e16-91f9-953a87af1522") + ) + (pin "39" + (uuid "9850c70f-4b96-49f7-8062-1722530233cf") + ) + (pin "12" + (uuid "f5754490-cedf-42e9-8540-0d9c2d5e57ba") + ) + (pin "7" + (uuid "7dac2798-8dc1-43c9-aebb-71b81b3ba398") + ) + (pin "5" + (uuid "91d08c27-4853-4049-ada9-2dc0d9e468ad") + ) + (pin "2" + (uuid "19af6a87-f990-487e-8835-d0ad374fb04d") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "U2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 93.98 177.8 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "1c3ce6f4-cc8f-4e3d-86cf-e7f85f8678d6") + (property "Reference" "#PWR022" + (at 93.98 184.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 93.98 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 93.98 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 93.98 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 93.98 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "6108c724-72c4-43eb-9286-d87918c69646") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR022") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 151.13 113.03 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "1d26f250-64a3-4e15-8f16-6b3712056161") + (property "Reference" "#PWR05" + (at 151.13 119.38 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 151.13 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 151.13 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 151.13 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 151.13 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "ad893943-975e-415a-8eb6-43edd42dfaf3") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR05") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 114.3 172.72 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "1f31d9bc-2845-4481-95cf-928657d86692") + (property "Reference" "#PWR024" + (at 107.95 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 110.49 172.7199 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 114.3 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 114.3 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 114.3 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c351c647-8ce9-43b1-89c6-aad52bfd3882") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR024") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Memory_EEPROM:28C256") + (at 113.03 72.39 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "265ce48d-4fa1-4455-81e9-1b7815577137") + (property "Reference" "U4" + (at 115.2241 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "28C256" + (at 115.2241 44.45 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-28_W15.24mm" + (at 113.03 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" + (at 113.03 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Paged Parallel EEPROM 256Kb (32K x 8), DIP-28/SOIC-28" + (at 113.03 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "4115128b-7121-4b81-b6b9-ae41126ec58c") + ) + (pin "5" + (uuid "b6cb4040-f6b2-4680-96a3-292aab59ee52") + ) + (pin "21" + (uuid "15b06d72-3f57-406f-98c5-f5b3d016bec1") + ) + (pin "20" + (uuid "45eb370b-9d5c-4f20-8ac8-2981d0ae0112") + ) + (pin "6" + (uuid "2cf36127-94eb-410e-a14c-8849d1cb290c") + ) + (pin "19" + (uuid "76944135-3c9e-4af2-a881-a9feefed7a7e") + ) + (pin "11" + (uuid "033fb9ce-c4dd-422e-9f41-d4af5a7c6ffb") + ) + (pin "14" + (uuid "dc623849-f777-4a40-ac28-7931c48e003b") + ) + (pin "24" + (uuid "dfd64f2e-365e-4b3d-b5af-9ed7b055a2ae") + ) + (pin "25" + (uuid "4782808d-aba4-4121-8498-e4aa36ee9cd0") + ) + (pin "10" + (uuid "bebb0117-a3a8-49c4-9dd6-9532f164201d") + ) + (pin "15" + (uuid "7958d0a0-720b-4bd3-97c9-bfc1cdddfddf") + ) + (pin "7" + (uuid "03cc04cc-c68d-4374-9497-449f6dc705c1") + ) + (pin "22" + (uuid "6074aa14-44e1-4f6a-ab80-26114324244c") + ) + (pin "12" + (uuid "3060b3ca-9430-4e35-95df-a3e619d0ff67") + ) + (pin "13" + (uuid "08229c4d-e21e-4d92-9314-6dde249edcb7") + ) + (pin "27" + (uuid "5c4a3f29-c37b-4b13-943e-b5f9b9b1bae2") + ) + (pin "26" + (uuid "8ea4352c-879d-43e4-a655-7806cafa9f7a") + ) + (pin "28" + (uuid "506270b1-f8c7-457f-9f43-78a6562a49b8") + ) + (pin "3" + (uuid "1a4f13ba-cc8f-4afe-8a53-21373e3d16b5") + ) + (pin "18" + (uuid "1575c50e-4fde-4de8-b108-5a4db07c2692") + ) + (pin "8" + (uuid "6948e02b-1138-49fb-8ac4-2a0e8b930bdd") + ) + (pin "16" + (uuid "0a527918-f67d-426f-a462-cea1c391e920") + ) + (pin "4" + (uuid "446a63d2-3c31-4e26-9353-54ef2cbc12c1") + ) + (pin "1" + (uuid "b695fc63-ca3b-4b2c-be65-1af469035cd2") + ) + (pin "9" + (uuid "1149784c-d606-4f65-a1d3-5d885ca638c6") + ) + (pin "23" + (uuid "ad63cfe7-eee6-4b35-b6d0-9c989f83b903") + ) + (pin "17" + (uuid "7b00eb4e-8144-4126-89d0-22e7a29375d7") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "U4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 186.69 87.63 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "270ab9bd-769a-4ff6-9b2a-8001d9d2c692") + (property "Reference" "#PWR01" + (at 190.5 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 182.88 87.6299 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 186.69 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 186.69 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 186.69 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "e4664671-a2ef-4018-97ce-3dd6741df41c") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR01") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 31.75 67.31 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "27871f34-9948-484e-ae92-8801973d3344") + (property "Reference" "#PWR027" + (at 35.56 67.31 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 27.94 67.3099 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 31.75 67.31 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 31.75 67.31 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 31.75 67.31 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "64d2d822-045c-4512-a80c-b96414a6749f") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR027") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "george:W65C02SxP") + (at 41.91 80.01 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "2b01245e-b474-4e0d-b344-18dbc558b19f") + (property "Reference" "U1" + (at 44.1041 39.37 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "W65C02SxP" + (at 44.1041 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-40_W15.24mm" + (at 41.91 29.21 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.westerndesigncenter.com/wdc/documentation/w65c02s.pdf" + (at 41.91 31.75 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "8-bit CMOS General Purpose Microprocessor, DIP-40" + (at 41.91 80.01 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "30" + (uuid "9432dc12-e837-469f-9503-3f91a1ed33db") + ) + (pin "1" + (uuid "2214d713-b15e-49dd-9500-de2da6c18f4c") + ) + (pin "26" + (uuid "810d3893-65b5-4549-be30-163447ff3420") + ) + (pin "27" + (uuid "6db76cf2-7dd7-41d1-b76c-7c34afe20bae") + ) + (pin "23" + (uuid "0d9f38fe-f20b-401d-b99b-59d71893c392") + ) + (pin "34" + (uuid "56c3b525-853a-4f42-b603-dd2e6cbdef3e") + ) + (pin "28" + (uuid "7c9a8964-87c4-4165-b9b0-517c2b76283b") + ) + (pin "24" + (uuid "97133652-62cb-4275-9912-6796dfee421a") + ) + (pin "11" + (uuid "e6ea1bb6-460a-4e40-9459-dfe14cdb0735") + ) + (pin "21" + (uuid "c34696da-06ac-4759-9b24-846370f3329a") + ) + (pin "8" + (uuid "9b135b44-3b60-42f3-889e-d6c3f2359390") + ) + (pin "9" + (uuid "6c04f2f7-34cd-4ed8-9639-2b8aa0318706") + ) + (pin "37" + (uuid "f05a2652-844f-499b-b576-773fb8a7926d") + ) + (pin "39" + (uuid "135c653e-8ccc-4420-953f-0a33c57a8bd7") + ) + (pin "4" + (uuid "23130e31-94c9-4a85-844e-c58cd527929e") + ) + (pin "36" + (uuid "a8b24c2d-f739-4a88-82fa-59175e3180d5") + ) + (pin "38" + (uuid "fdf33db7-1eec-4248-beac-4aade07fa08d") + ) + (pin "10" + (uuid "420ea8ed-5c6a-4f9f-9c63-fef3bb969b99") + ) + (pin "31" + (uuid "ef033f00-1f1e-4578-95db-46546fb595f9") + ) + (pin "13" + (uuid "f6976e20-6bca-4017-9b0b-a8c17a561170") + ) + (pin "15" + (uuid "8e51956b-fa70-4d65-9ad0-c714e35bee26") + ) + (pin "2" + (uuid "13a2b3e8-2d37-4757-9e66-2dd56f94f4d3") + ) + (pin "12" + (uuid "bd0711cc-85f9-4afa-9de1-d2a6226f4275") + ) + (pin "20" + (uuid "ffc62f8d-e523-455e-b4d7-dc3dea68387f") + ) + (pin "22" + (uuid "cc92481a-866b-4176-96c0-87644c6906e2") + ) + (pin "25" + (uuid "eaa136ad-4521-4e85-8cac-d24ef56898d8") + ) + (pin "3" + (uuid "311f13af-abe6-44e7-ae5d-4802789afe48") + ) + (pin "14" + (uuid "12280dfd-22a3-4d53-926f-385be76fd881") + ) + (pin "33" + (uuid "8e5add9b-8212-4f18-bdf9-888af26c3925") + ) + (pin "6" + (uuid "bde59e71-249b-4a3a-9c61-efd47450de81") + ) + (pin "7" + (uuid "00ed9a41-064b-45be-a716-f8b300083d24") + ) + (pin "35" + (uuid "021216ec-fc48-49a1-988d-2bc3b874721a") + ) + (pin "40" + (uuid "38c53628-532d-48f5-bf4a-c201d7b9eca1") + ) + (pin "5" + (uuid "44b6294c-5056-4464-bc91-b7d6d236c93d") + ) + (pin "16" + (uuid "6bdeb48e-f284-4547-9c98-b4a07d1e2f64") + ) + (pin "29" + (uuid "33f3437c-b28a-42a6-8cc0-b593a730c111") + ) + (pin "19" + (uuid "05fbe620-3035-4130-aae6-1e8530ecd7e5") + ) + (pin "18" + (uuid "9b970c85-ef24-41ec-b588-24b6d2776c4e") + ) + (pin "32" + (uuid "357d8d51-ff77-4fc3-b596-6731054c918d") + ) + (pin "17" + (uuid "eab23edd-b3bf-48aa-bc77-b81d12c50452") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "U1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 114.3 175.26 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "303dbb78-48f8-4b39-9a64-f8e612c5cdbe") + (property "Reference" "#PWR025" + (at 118.11 175.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 110.49 175.2599 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 114.3 175.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 114.3 175.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 114.3 175.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "02503744-ee65-4252-bca0-722385f6aaef") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR025") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Switch:SW_Push") + (at 31.75 172.72 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "32dfe7a1-6e97-46c4-a2b2-7c7ad2e7f33c") + (property "Reference" "SW1" + (at 33.02 171.4499 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "SW_Push" + (at 33.02 173.9899 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 26.67 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 26.67 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Push button switch, generic, two pins" + (at 31.75 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "3e37b5fe-7b6a-4f81-9f48-24dad0516eeb") + ) + (pin "1" + (uuid "df20e75d-7160-4c4e-a3c0-37813718f99f") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "SW1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 247.65 64.77 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "3530b18b-44ad-40b2-b619-8e7725c458d9") + (property "Reference" "#PWR08" + (at 241.3 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 243.84 64.7699 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 247.65 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 247.65 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 247.65 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "a118feea-bfc3-4f5d-9148-fd3055c4783b") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR08") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 226.06 36.83 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "39bdb377-6d39-4e58-82bf-28eacb5f7b1b") + (property "Reference" "#PWR013" + (at 226.06 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 226.06 31.75 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 226.06 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 226.06 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 226.06 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "18978bf7-f621-4aa3-b01e-54dffdde305f") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR013") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "4xxx:4520") + (at 153.67 172.72 0) + (unit 3) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "3fd26468-eae6-4386-931b-63a7acf56ec0") + (property "Reference" "U7" + (at 160.02 171.4499 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "4520" + (at 160.02 173.9899 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-16_W7.62mm" + (at 153.67 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.intersil.com/content/dam/Intersil/documents/cd45/cd4518bms-20bms.pdf" + (at 153.67 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Dual Binary Up-Counter" + (at 153.67 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "15" + (uuid "f03e28f5-e6b9-4575-9443-128667c1c713") + ) + (pin "2" + (uuid "372090f7-d5eb-45d1-b2b1-c51fa411d2c7") + ) + (pin "3" + (uuid "ffcbb637-9258-4a57-ae36-874a7907a3b9") + ) + (pin "1" + (uuid "157e4acd-3a95-4a89-8530-8b945ca2f01c") + ) + (pin "9" + (uuid "29ba6318-bc8e-429a-b4ef-afbb8438bfcb") + ) + (pin "10" + (uuid "6a976cc2-0280-4519-8c57-734e3f6552b3") + ) + (pin "7" + (uuid "487b74b3-672d-4051-a359-5521629b78ab") + ) + (pin "6" + (uuid "2eed9e5f-e962-4f09-b42d-06524b629d3e") + ) + (pin "14" + (uuid "1da12930-05d0-4e3e-9e4a-0a74e60edccf") + ) + (pin "8" + (uuid "c4cf76ec-0ca5-439f-8167-aaf0ced20b9a") + ) + (pin "5" + (uuid "fb3aa06c-c6e1-485b-b1d9-01ce4a78bced") + ) + (pin "13" + (uuid "2f72752e-ea82-47eb-bb8a-4b914aa4e2d4") + ) + (pin "12" + (uuid "226e5c7d-bfe3-4409-899b-e84e1bccdec0") + ) + (pin "4" + (uuid "1565c44b-90d7-4cd2-b052-f3779c972149") + ) + (pin "11" + (uuid "f4facb94-8d62-4a09-ae57-d4acce054057") + ) + (pin "16" + (uuid "71113ee8-230a-44a2-ba1b-ce7ba6a0af3d") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "U7") + (unit 3) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 68.58 185.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "4597ee90-8793-42d6-9c56-c20667e51177") + (property "Reference" "#PWR010" + (at 68.58 191.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 68.58 190.5 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 68.58 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 68.58 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 68.58 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "e7c9b1cd-ca66-462e-b2ea-33907ef9878c") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR010") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 41.91 118.11 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "4e8022e0-82ab-4232-8cc5-c5ae2bff985c") + (property "Reference" "#PWR07" + (at 41.91 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 41.91 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 41.91 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 41.91 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 41.91 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "ef1d1ba3-dce2-4367-89de-d1c0955ecfd3") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR07") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 113.03 100.33 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "4f94dcb8-64c6-4e30-bf4f-ae185df94389") + (property "Reference" "#PWR09" + (at 113.03 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 113.03 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 113.03 100.33 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 113.03 100.33 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 113.03 100.33 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "81d492b0-f6f5-4ac5-bd86-619334a11b15") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR09") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 39.37 180.34 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "692c5768-3115-4757-8b89-6a2b8d02cd22") + (property "Reference" "#PWR033" + (at 39.37 186.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 39.37 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 39.37 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 39.37 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 39.37 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "09e51b14-55fa-4759-957a-383aebdcd112") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR033") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 186.69 92.71 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "69f953e8-546f-48f3-bc72-04ee96fc69a6") + (property "Reference" "#PWR032" + (at 180.34 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 182.88 92.7099 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 186.69 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 186.69 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 186.69 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "749f2231-0023-4ba6-9bb2-ce0abbe8c603") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR032") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "4xxx:4520") + (at 127 170.18 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6ca65897-6a56-4977-b769-99b7c905af5e") + (property "Reference" "U7" + (at 127 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "4520" + (at 127 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-16_W7.62mm" + (at 127 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.intersil.com/content/dam/Intersil/documents/cd45/cd4518bms-20bms.pdf" + (at 127 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Dual Binary Up-Counter" + (at 127 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "15" + (uuid "f03e28f5-e6b9-4575-9443-128667c1c713") + ) + (pin "2" + (uuid "372090f7-d5eb-45d1-b2b1-c51fa411d2c7") + ) + (pin "3" + (uuid "ffcbb637-9258-4a57-ae36-874a7907a3b9") + ) + (pin "1" + (uuid "157e4acd-3a95-4a89-8530-8b945ca2f01c") + ) + (pin "9" + (uuid "29ba6318-bc8e-429a-b4ef-afbb8438bfcb") + ) + (pin "10" + (uuid "6a976cc2-0280-4519-8c57-734e3f6552b3") + ) + (pin "7" + (uuid "487b74b3-672d-4051-a359-5521629b78ab") + ) + (pin "6" + (uuid "2eed9e5f-e962-4f09-b42d-06524b629d3e") + ) + (pin "14" + (uuid "1da12930-05d0-4e3e-9e4a-0a74e60edccf") + ) + (pin "8" + (uuid "c4cf76ec-0ca5-439f-8167-aaf0ced20b9a") + ) + (pin "5" + (uuid "fb3aa06c-c6e1-485b-b1d9-01ce4a78bced") + ) + (pin "13" + (uuid "2f72752e-ea82-47eb-bb8a-4b914aa4e2d4") + ) + (pin "12" + (uuid "226e5c7d-bfe3-4409-899b-e84e1bccdec0") + ) + (pin "4" + (uuid "1565c44b-90d7-4cd2-b052-f3779c972149") + ) + (pin "11" + (uuid "f4facb94-8d62-4a09-ae57-d4acce054057") + ) + (pin "16" + (uuid "71113ee8-230a-44a2-ba1b-ce7ba6a0af3d") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "U7") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 196.85 97.79 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6d249cfb-077a-4bbd-a174-cb4e9cccb1c5") + (property "Reference" "#PWR02" + (at 196.85 104.14 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 196.85 102.87 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 196.85 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 196.85 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 196.85 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b073ceaf-2df9-4e07-8f31-8d279ac8452f") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR02") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 151.13 41.91 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6e256f0e-7cfe-456c-b2e6-db22f1e223ed") + (property "Reference" "#PWR04" + (at 151.13 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 151.13 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 151.13 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 151.13 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 151.13 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "5693054a-c712-435b-bbdf-94cc4a7b7bce") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR04") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC165") + (at 226.06 59.69 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "761de061-8b05-4fcc-b7f2-0fd2cb15e9a0") + (property "Reference" "U5" + (at 228.2541 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "74HC165" + (at 228.2541 39.37 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-16_W7.62mm" + (at 226.06 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/74HC_HCT165.pdf" + (at 226.06 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Shift Register, 8-bit, Parallel Load" + (at 226.06 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "13" + (uuid "af66be9a-de7e-45f8-bf9f-89bf6bca768a") + ) + (pin "10" + (uuid "e106f9a7-84cd-4da3-b0fe-2dcf9fa490d3") + ) + (pin "15" + (uuid "f7f1f69f-9a37-4233-a007-d70507581c78") + ) + (pin "6" + (uuid "90ed159e-7adb-42aa-9b1a-75689e176f50") + ) + (pin "14" + (uuid "7535a6a3-1199-4847-8cf6-86eb4abd7d4d") + ) + (pin "5" + (uuid "a7e899b4-3b22-4713-805b-1d12ddd62d9c") + ) + (pin "7" + (uuid "c78c4a02-f1cb-4210-8494-77f02089a0bf") + ) + (pin "12" + (uuid "96eb29bd-47e1-4b08-ad38-c8aff7fff97a") + ) + (pin "16" + (uuid "ac8d9aac-3b1f-4aa1-8107-4c22539f94f3") + ) + (pin "11" + (uuid "87c3aeef-e4b9-420f-ba92-d66f7c5af55b") + ) + (pin "9" + (uuid "7b211825-33f2-4ae7-b1ec-0e896828fef7") + ) + (pin "2" + (uuid "2afad299-7c59-4f35-adf7-d8053989eb18") + ) + (pin "4" + (uuid "c5be93bf-b718-4add-8be5-87ff4ca6fec1") + ) + (pin "3" + (uuid "0c669ac1-412f-4068-9734-979997a8fe80") + ) + (pin "1" + (uuid "8bcceb91-71ad-4a57-9be7-9530e7de9517") + ) + (pin "8" + (uuid "ebbc751f-4df2-4b07-ae13-ef278d3c12ee") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "U5") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC14") + (at 222.25 104.14 0) + (unit 2) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7c76f95b-f172-4b25-93c9-459f060fbedf") + (property "Reference" "U6" + (at 222.25 95.25 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC14" + (at 222.25 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm" + (at 222.25 104.14 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" + (at 222.25 104.14 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Hex inverter schmitt trigger" + (at 222.25 104.14 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "9" + (uuid "064854c3-abd0-4cf5-b79d-041a88114c0d") + ) + (pin "13" + (uuid "b7ecf7f8-2949-48b0-b66e-df67b586395a") + ) + (pin "7" + (uuid "400802c8-9c6e-48b0-bcc2-c08a76325ea1") + ) + (pin "4" + (uuid "030b729c-aaec-4764-9381-1b2075ef9d8d") + ) + (pin "11" + (uuid "a270a9a3-7161-4f7a-9cf7-79eb825eea03") + ) + (pin "14" + (uuid "c43106fb-6de1-4e05-955f-2a99dee26708") + ) + (pin "10" + (uuid "cf3c70f2-387a-4365-b6af-bb3c1480ff07") + ) + (pin "3" + (uuid "c8f28a57-e79f-46e0-b2bb-9f198f671a72") + ) + (pin "1" + (uuid "8c442e66-08e8-4d60-b961-550e08d0d015") + ) + (pin "12" + (uuid "a96f7473-38a6-4e9c-98fe-eb6af9d560fd") + ) + (pin "2" + (uuid "7b2bb1af-efee-4579-afec-062b4c24335d") + ) + (pin "5" + (uuid "96f56896-6160-4abd-8546-e7121acb890e") + ) + (pin "8" + (uuid "07ef9cc8-2976-4d43-b0f8-34cf971c5662") + ) + (pin "6" + (uuid "29ae919b-89f9-42ee-a016-acccfec0b3de") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "U6") + (unit 2) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 24.13 165.1 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7f527979-72f0-402d-89fe-abf1c7ece529") + (property "Reference" "#PWR034" + (at 27.94 165.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 20.32 165.0999 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 24.13 165.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 24.13 165.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 24.13 165.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f313c130-7bff-4b2a-a0d0-ad103fa0ee1a") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR034") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 46.99 172.72 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "80112f24-cbb8-462e-8ff1-0ed26d30f3d7") + (property "Reference" "C1" + (at 50.8 171.4499 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "0.1uF" + (at 50.8 173.9899 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 47.9552 176.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 46.99 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 46.99 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "02787ea0-95e5-4fb7-9cdf-a7151a8d54c8") + ) + (pin "2" + (uuid "0ec1e4e5-4351-440f-bf3e-6a6cca16a451") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "C1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC14") + (at 68.58 172.72 0) + (unit 7) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "92c17025-555a-4947-b24a-76b2a17be2b8") + (property "Reference" "U6" + (at 74.93 171.4499 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "74HC14" + (at 74.93 173.9899 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm" + (at 68.58 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" + (at 68.58 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Hex inverter schmitt trigger" + (at 68.58 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "9" + (uuid "064854c3-abd0-4cf5-b79d-041a88114c0d") + ) + (pin "13" + (uuid "b7ecf7f8-2949-48b0-b66e-df67b586395a") + ) + (pin "7" + (uuid "400802c8-9c6e-48b0-bcc2-c08a76325ea1") + ) + (pin "4" + (uuid "030b729c-aaec-4764-9381-1b2075ef9d8d") + ) + (pin "11" + (uuid "a270a9a3-7161-4f7a-9cf7-79eb825eea03") + ) + (pin "14" + (uuid "c43106fb-6de1-4e05-955f-2a99dee26708") + ) + (pin "10" + (uuid "cf3c70f2-387a-4365-b6af-bb3c1480ff07") + ) + (pin "3" + (uuid "c8f28a57-e79f-46e0-b2bb-9f198f671a72") + ) + (pin "1" + (uuid "26d39aaa-a2bf-48dc-b547-52af8c5f4dfd") + ) + (pin "12" + (uuid "a96f7473-38a6-4e9c-98fe-eb6af9d560fd") + ) + (pin "2" + (uuid "7ce735b2-1c47-46a3-9b2d-29f64c05fe71") + ) + (pin "5" + (uuid "96f56896-6160-4abd-8546-e7121acb890e") + ) + (pin "8" + (uuid "07ef9cc8-2976-4d43-b0f8-34cf971c5662") + ) + (pin "6" + (uuid "29ae919b-89f9-42ee-a016-acccfec0b3de") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "U6") + (unit 7) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 31.75 80.01 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "9a791de7-a6b9-4063-9198-a9da45e6c594") + (property "Reference" "#PWR029" + (at 35.56 80.01 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 27.94 80.0099 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 31.75 80.01 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 31.75 80.01 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 31.75 80.01 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "0634d508-5657-444b-9e0c-285603c1689b") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR029") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 93.98 162.56 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a962ee18-776a-4069-b771-fed0fd972717") + (property "Reference" "#PWR023" + (at 93.98 166.37 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 93.98 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 93.98 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 93.98 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 93.98 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9c6bb28c-b48c-4c06-bcf2-b750f480d859") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR023") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 153.67 160.02 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "aa891095-9cf3-43c6-a0bd-09dfc7d4450e") + (property "Reference" "#PWR021" + (at 153.67 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 153.67 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 153.67 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 153.67 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 153.67 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "d972d2c7-d1da-4d82-ab8f-2f96ba2c16a8") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR021") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 41.91 41.91 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ab03ba9c-a537-4abe-a325-b815aa85ffbb") + (property "Reference" "#PWR06" + (at 41.91 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 41.91 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 41.91 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 41.91 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 41.91 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "5a604dd6-1677-4ab5-a396-0294361284e6") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR06") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 113.03 44.45 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ae5e4ea3-d9ec-4109-b8be-88271539ed4a") + (property "Reference" "#PWR012" + (at 113.03 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 113.03 39.37 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 113.03 44.45 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 113.03 44.45 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 113.03 44.45 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "df055fdb-4632-48cd-a49e-4567648d7d2f") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR012") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC14") + (at 113.03 118.11 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "b56d0515-f820-4a0e-a069-e5c1b57f63bb") + (property "Reference" "U6" + (at 113.03 109.22 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC14" + (at 113.03 111.76 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm" + (at 113.03 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" + (at 113.03 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Hex inverter schmitt trigger" + (at 113.03 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "9" + (uuid "064854c3-abd0-4cf5-b79d-041a88114c0d") + ) + (pin "13" + (uuid "b7ecf7f8-2949-48b0-b66e-df67b586395a") + ) + (pin "7" + (uuid "400802c8-9c6e-48b0-bcc2-c08a76325ea1") + ) + (pin "4" + (uuid "030b729c-aaec-4764-9381-1b2075ef9d8d") + ) + (pin "11" + (uuid "a270a9a3-7161-4f7a-9cf7-79eb825eea03") + ) + (pin "14" + (uuid "c43106fb-6de1-4e05-955f-2a99dee26708") + ) + (pin "10" + (uuid "cf3c70f2-387a-4365-b6af-bb3c1480ff07") + ) + (pin "3" + (uuid "c8f28a57-e79f-46e0-b2bb-9f198f671a72") + ) + (pin "1" + (uuid "26d39aaa-a2bf-48dc-b547-52af8c5f4dfd") + ) + (pin "12" + (uuid "a96f7473-38a6-4e9c-98fe-eb6af9d560fd") + ) + (pin "2" + (uuid "7ce735b2-1c47-46a3-9b2d-29f64c05fe71") + ) + (pin "5" + (uuid "96f56896-6160-4abd-8546-e7121acb890e") + ) + (pin "8" + (uuid "07ef9cc8-2976-4d43-b0f8-34cf971c5662") + ) + (pin "6" + (uuid "29ae919b-89f9-42ee-a016-acccfec0b3de") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "U6") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 31.75 77.47 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "bc6c9c71-b5f0-467b-829d-b98e76299c1f") + (property "Reference" "#PWR028" + (at 35.56 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 27.94 77.4699 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 31.75 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 31.75 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 31.75 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b265438d-ca40-43df-929d-55deca5bc0b1") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR028") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 226.06 85.09 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c9571f19-8a76-443d-80a7-a1e7271b728c") + (property "Reference" "#PWR014" + (at 226.06 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 226.06 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 226.06 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 226.06 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 226.06 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "20f9b2ed-6137-4498-83eb-c5fa21f8adcb") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR014") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "george:28C256") + (at 196.85 69.85 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ca901f7b-4a72-4d58-995a-e58a71f8d74b") + (property "Reference" "U3" + (at 199.0441 39.37 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "28C256" + (at 199.0441 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-28_W15.24mm" + (at 196.85 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" + (at 196.85 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Paged Parallel EEPROM 256Kb (32K x 8), DIP-28/SOIC-28" + (at 196.85 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "29" + (uuid "694d35fe-ce5a-4bd0-ad69-979f18341039") + ) + (pin "16" + (uuid "0db416b6-3ecb-4e6e-b953-283a91dd5b8c") + ) + (pin "15" + (uuid "04446189-de35-46a0-9e0a-38190e7bacfb") + ) + (pin "18" + (uuid "fef024bb-ff90-43bf-8756-8b60be423f21") + ) + (pin "31" + (uuid "9c90cdc9-b7f4-4d8d-8b7a-e08395eafeb6") + ) + (pin "32" + (uuid "c66db29d-fa5e-4e18-9e4b-9343c6cd57be") + ) + (pin "2" + (uuid "5f1820dd-016c-41bb-bbd7-547ea0a09231") + ) + (pin "10" + (uuid "726394a9-040f-4707-ac24-07a9aa118b81") + ) + (pin "19" + (uuid "6043f58a-70d9-4a6d-9a60-3b12ba7c7dfe") + ) + (pin "24" + (uuid "30e144e7-dad0-45c4-b948-c360dcc23818") + ) + (pin "23" + (uuid "2544a104-f501-43ee-8679-3f7d4aadf8ec") + ) + (pin "20" + (uuid "9af80f8d-d0bc-4ad3-85f1-b5dd42dfbb74") + ) + (pin "3" + (uuid "948212f1-022f-4bc3-8da6-049b108ad99e") + ) + (pin "14" + (uuid "50bd253e-ddeb-4af7-a8f4-bcd02e752d71") + ) + (pin "25" + (uuid "be1e71f8-d6e0-48b0-a80a-437d9b7c6fae") + ) + (pin "28" + (uuid "545aa05a-1950-45fc-92ff-5ae4dc4112b7") + ) + (pin "4" + (uuid "cb3cbee1-1bc9-47fc-b28e-05abfbf8fd20") + ) + (pin "5" + (uuid "5b170ac6-2e04-4f71-ada5-5eed8482d158") + ) + (pin "27" + (uuid "6bedd186-7223-405c-9451-8b788943cef3") + ) + (pin "11" + (uuid "1a33a85f-6411-479a-91bb-d67b83a9f624") + ) + (pin "8" + (uuid "f028a254-22c4-4a18-b245-ccee0e6102c0") + ) + (pin "9" + (uuid "fa28cc45-eaec-40b3-8c35-aa82f79cba75") + ) + (pin "13" + (uuid "031a4305-0954-420e-bd0d-d21a156888db") + ) + (pin "6" + (uuid "36b16282-88f2-4e05-91a7-1bc2493fc1f8") + ) + (pin "7" + (uuid "bffb0572-3753-4f6e-8172-c44db04c6928") + ) + (pin "21" + (uuid "e613b26b-3dab-4eab-ae6d-8e998353a495") + ) + (pin "30" + (uuid "a490246e-10fe-4162-8412-130c891727de") + ) + (pin "22" + (uuid "ee46f82c-3a9c-4ed4-8b5b-d31f71d08592") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "U3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 31.75 64.77 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "d6cb9e70-8b24-4ba1-bccc-052d539f5f0e") + (property "Reference" "#PWR026" + (at 35.56 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 27.94 64.7699 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 31.75 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 31.75 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 31.75 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "20115c10-ae29-4d96-b75f-c0cd7bda6b84") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR026") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Oscillator:CXO_DIP14") + (at 93.98 170.18 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ddaadf22-2e32-4fd1-8fe2-02e5fda0335e") + (property "Reference" "X1" + (at 105.41 163.8614 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "16Mhz" + (at 105.41 166.4014 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Oscillator:Oscillator_DIP-14" + (at 105.41 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://cdn-reichelt.de/documents/datenblatt/B400/OSZI.pdf" + (at 91.44 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Crystal Clock Oscillator, DIP14-style metal package" + (at 93.98 170.18 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "8" + (uuid "165628ca-6482-4a29-9c81-ee43ab7234c1") + ) + (pin "1" + (uuid "5a6c8a57-97cc-4087-9b04-36a9b3009c74") + ) + (pin "7" + (uuid "965a0508-52cf-4b50-b604-31d60904559b") + ) + (pin "14" + (uuid "e2ba1154-1a14-40af-9757-fc888ba874dc") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "X1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 31.75 95.25 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e03730d8-7b54-4b00-9a27-74cf5d208cb1") + (property "Reference" "#PWR030" + (at 35.56 95.25 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 27.94 95.2499 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 31.75 95.25 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 31.75 95.25 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 31.75 95.25 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c414a584-a082-4d5b-bce5-c237e42b6930") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR030") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 135.89 90.17 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e538df55-1e38-4156-bf3c-9d5aaeab746f") + (property "Reference" "#PWR017" + (at 129.54 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 132.08 90.1699 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 135.89 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 135.89 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 135.89 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "fc6e6459-2c5a-41b4-8b5c-619bd91eb286") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "#PWR017") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:DE15_Receptacle_HighDensity") + (at 255.27 54.61 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "eb268f2c-3e4b-438f-b532-b8e95928f452") + (property "Reference" "J1" + (at 255.27 31.75 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "DE15_Receptacle_HighDensity" + (at 255.27 34.29 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 231.14 44.45 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" " ~" + (at 231.14 44.45 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "15-pin female receptacle socket D-SUB connector, High density (3 columns), Triple Row, Generic, VGA-connector" + (at 255.27 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "15" + (uuid "196e9888-3316-4ce2-adfc-ef687a494eb1") + ) + (pin "13" + (uuid "4548c90d-ef75-4941-b5a5-653f7c5ee7d6") + ) + (pin "8" + (uuid "7662fbd7-850a-46f4-ab08-e2b0b9e1c6c7") + ) + (pin "6" + (uuid "678a877d-3c6a-46a1-88cd-215ad7ec6a7b") + ) + (pin "7" + (uuid "fefa24db-3c8f-4dca-9621-f8e9988c84bd") + ) + (pin "5" + (uuid "69eb4ac8-1024-4a49-903c-b5294e908682") + ) + (pin "9" + (uuid "937c006c-aaad-41f2-8927-555f874a10c1") + ) + (pin "12" + (uuid "6ac327f6-1cc0-4f71-b5f5-720d1e01f97d") + ) + (pin "14" + (uuid "b1c6c88d-ab4f-4ccc-bb30-d2e294b162c8") + ) + (pin "2" + (uuid "78c23983-33c6-46da-b0c5-27ca3f598ac2") + ) + (pin "10" + (uuid "bb72f836-18c4-4c07-acd3-2d37c02f0eaf") + ) + (pin "1" + (uuid "f7dbd8a6-51b9-4cad-905b-328f3f12c091") + ) + (pin "3" + (uuid "e8d911b5-e16f-494b-aeb6-e52e512bee0b") + ) + (pin "4" + (uuid "551d6a0e-01cb-4e77-8649-a92a050392f0") + ) + (pin "11" + (uuid "fd605cfe-c31c-453e-b6df-19c7e54d3d02") + ) + (instances + (project "vga" + (path "/2b483699-1e0e-4398-bb54-78cf29795aa5" + (reference "J1") + (unit 1) + ) + ) + ) + ) + (sheet_instances + (path "/" + (page "1") + ) + ) +) \ No newline at end of file diff --git a/video.kicad_sch b/video.kicad_sch index d26e1c6..7a89f49 100644 --- a/video.kicad_sch +++ b/video.kicad_sch @@ -14689,6 +14689,16 @@ "YDBY+DL/P1SLDOM6t3DxAAAAAElFTkSuQmCC" ) ) + (text "todo: don't need all row bits\nsince font will be max 13 rows,\nneed max 4 bits, [RA0..RA3]" + (exclude_from_sim no) + (at 273.304 185.928 0) + (effects + (font + (size 1.27 1.27) + ) + ) + (uuid "01aef522-cc35-444b-9159-f52ee3bac70e") + ) (text "todo: implement inverted \nvideo toggle bit" (exclude_from_sim no) (at 387.35 163.83 0) @@ -17018,7 +17028,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 335.28 231.14 0) (effects (font @@ -17027,7 +17037,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 332.4352 233.68 0) (effects (font @@ -18327,7 +18337,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 320.04 231.14 0) (effects (font @@ -18336,7 +18346,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 317.1952 233.68 0) (effects (font @@ -18397,7 +18407,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 304.8 231.14 0) (effects (font @@ -18406,7 +18416,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 301.9552 233.68 0) (effects (font @@ -18761,7 +18771,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 271.78 231.14 0) (effects (font @@ -18770,7 +18780,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 268.9352 233.68 0) (effects (font @@ -19266,7 +19276,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 288.29 231.14 0) (effects (font @@ -19275,7 +19285,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 285.4452 233.68 0) (effects (font @@ -19336,7 +19346,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 381 231.14 0) (effects (font @@ -19345,7 +19355,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 378.1552 233.68 0) (effects (font @@ -19895,7 +19905,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 393.7 231.14 0) (effects (font @@ -19904,7 +19914,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 390.8552 233.68 0) (effects (font @@ -20861,7 +20871,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 365.76 231.14 0) (effects (font @@ -20870,7 +20880,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 362.9152 233.68 0) (effects (font @@ -21377,7 +21387,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 241.3 231.14 0) (effects (font @@ -21386,7 +21396,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 238.4552 233.68 0) (effects (font @@ -21743,7 +21753,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 351.79 231.14 0) (effects (font @@ -21752,7 +21762,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 348.9452 233.68 0) (effects (font @@ -22174,7 +22184,7 @@ (justify left) ) ) - (property "Value" "100nf" + (property "Value" "100nF" (at 256.54 231.14 0) (effects (font @@ -22183,7 +22193,7 @@ (justify left) ) ) - (property "Footprint" "Capacitor_THT:C_Disc_D3.0mm_W2.0mm_P2.50mm" + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" (at 253.6952 233.68 0) (effects (font diff --git a/video.kicad_sch-bak b/video.kicad_sch-bak index 5d32b60..79c12d1 100644 --- a/video.kicad_sch-bak +++ b/video.kicad_sch-bak @@ -1,10998 +1,22443 @@ -(kicad_sch (version 20230121) (generator eeschema) - - (uuid a4be59a9-1504-4ac5-9a66-eb64ab9a25a8) - - (paper "A3") - - (title_block - (title "ʕ·ᴥ·ʔ-video") - ) - - (lib_symbols - (symbol "74xx:74HC00" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (at 0 1.27 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC00" (at 0 -1.27 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hc00" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_locked" "" (at 0 0 0) - (effects (font (size 1.27 1.27))) - ) - (property "ki_keywords" "HCMOS nand 2-input" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "quad 2-input NAND gate" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP*W7.62mm* SO14*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "74HC00_1_1" - (arc (start 0 -3.81) (mid 3.7934 0) (end 0 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy 0 3.81) - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 0 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_1_2" - (arc (start -3.81 -3.81) (mid -2.589 0) (end -3.81 3.81) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (arc (start -0.6096 -3.81) (mid 2.1842 -2.5851) (end 3.81 0) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 3.81) - (xy -0.635 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -0.635 3.81) - (xy -3.81 3.81) - (xy -3.81 3.81) - (xy -3.556 3.4036) - (xy -3.0226 2.2606) - (xy -2.6924 1.0414) - (xy -2.6162 -0.254) - (xy -2.7686 -1.4986) - (xy -3.175 -2.7178) - (xy -3.81 -3.81) - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width -25.4) (type default)) - (fill (type background)) - ) - (arc (start 3.81 0) (mid 2.1915 2.5936) (end -0.6096 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input inverted (at -7.62 2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 -2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_2_1" - (arc (start 0 -3.81) (mid 3.7934 0) (end 0 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy 0 3.81) - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 0 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_2_2" - (arc (start -3.81 -3.81) (mid -2.589 0) (end -3.81 3.81) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (arc (start -0.6096 -3.81) (mid 2.1842 -2.5851) (end 3.81 0) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 3.81) - (xy -0.635 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -0.635 3.81) - (xy -3.81 3.81) - (xy -3.81 3.81) - (xy -3.556 3.4036) - (xy -3.0226 2.2606) - (xy -2.6924 1.0414) - (xy -2.6162 -0.254) - (xy -2.7686 -1.4986) - (xy -3.175 -2.7178) - (xy -3.81 -3.81) - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width -25.4) (type default)) - (fill (type background)) - ) - (arc (start 3.81 0) (mid 2.1915 2.5936) (end -0.6096 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input inverted (at -7.62 2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 -2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_3_1" - (arc (start 0 -3.81) (mid 3.7934 0) (end 0 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy 0 3.81) - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 0 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_3_2" - (arc (start -3.81 -3.81) (mid -2.589 0) (end -3.81 3.81) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (arc (start -0.6096 -3.81) (mid 2.1842 -2.5851) (end 3.81 0) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 3.81) - (xy -0.635 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -0.635 3.81) - (xy -3.81 3.81) - (xy -3.81 3.81) - (xy -3.556 3.4036) - (xy -3.0226 2.2606) - (xy -2.6924 1.0414) - (xy -2.6162 -0.254) - (xy -2.7686 -1.4986) - (xy -3.175 -2.7178) - (xy -3.81 -3.81) - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width -25.4) (type default)) - (fill (type background)) - ) - (arc (start 3.81 0) (mid 2.1915 2.5936) (end -0.6096 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input inverted (at -7.62 -2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_4_1" - (arc (start 0 -3.81) (mid 3.7934 0) (end 0 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy 0 3.81) - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 0 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_4_2" - (arc (start -3.81 -3.81) (mid -2.589 0) (end -3.81 3.81) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (arc (start -0.6096 -3.81) (mid 2.1842 -2.5851) (end 3.81 0) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 3.81) - (xy -0.635 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -0.635 3.81) - (xy -3.81 3.81) - (xy -3.81 3.81) - (xy -3.556 3.4036) - (xy -3.0226 2.2606) - (xy -2.6924 1.0414) - (xy -2.6162 -0.254) - (xy -2.7686 -1.4986) - (xy -3.175 -2.7178) - (xy -3.81 -3.81) - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width -25.4) (type default)) - (fill (type background)) - ) - (arc (start 3.81 0) (mid 2.1915 2.5936) (end -0.6096 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin output line (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 -2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_5_0" - (pin power_in line (at 0 12.7 270) (length 5.08) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -12.7 90) (length 5.08) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC00_5_1" - (rectangle (start -5.08 7.62) (end 5.08 -7.62) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - ) - (symbol "74xx:74HC08" (in_bom yes) (on_board yes) - (property "Reference" "U" (at 0 1.27 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC08" (at 0 0 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W10.16mm" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc08.pdf" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_locked" "" (at 0 0 0) - (effects (font (size 1.27 1.27))) - ) - (property "ki_keywords" "AND" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Quadruple 2-Input Positive-AND Gates" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "74HC08_1_1" - (polyline - (pts - (xy 1.27 3.81) - (xy -2.54 3.81) - (xy -2.54 -3.81) - (xy 1.27 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (arc (start 1.27 -3.81) (mid 5.0634 0) (end 1.27 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -6.35 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -6.35 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 8.89 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC08_2_1" - (polyline - (pts - (xy 1.27 3.81) - (xy -2.54 3.81) - (xy -2.54 -3.81) - (xy 1.27 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (arc (start 1.27 -3.81) (mid 5.0634 0) (end 1.27 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -6.35 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -6.35 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 8.89 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC08_3_1" - (polyline - (pts - (xy 1.27 3.81) - (xy -2.54 3.81) - (xy -2.54 -3.81) - (xy 1.27 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (arc (start 1.27 -3.81) (mid 5.0634 0) (end 1.27 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -6.35 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 8.89 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -6.35 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC08_4_1" - (polyline - (pts - (xy 1.27 3.81) - (xy -2.54 3.81) - (xy -2.54 -3.81) - (xy 1.27 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (arc (start 1.27 -3.81) (mid 5.0634 0) (end 1.27 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin output line (at 8.89 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -6.35 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -6.35 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC08_5_0" - (pin power_in line (at 0 12.7 270) (length 5.08) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -12.7 90) (length 5.08) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC08_5_1" - (rectangle (start -5.08 7.62) (end 5.08 -7.62) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - ) - (symbol "74xx:74HC14" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (at 0 1.27 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC14" (at 0 -1.27 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_locked" "" (at 0 0 0) - (effects (font (size 1.27 1.27))) - ) - (property "ki_keywords" "HCMOS not inverter" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Hex inverter schmitt trigger" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP*W7.62mm*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "74HC14_1_0" - (polyline - (pts - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 3.81 0) - (xy -3.81 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 0 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC14_1_1" - (polyline - (pts - (xy -1.905 -1.27) - (xy -1.905 1.27) - (xy -0.635 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.54 -1.27) - (xy -0.635 -1.27) - (xy -0.635 1.27) - (xy 0 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "74HC14_2_0" - (polyline - (pts - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 3.81 0) - (xy -3.81 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 0 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC14_2_1" - (polyline - (pts - (xy -1.905 -1.27) - (xy -1.905 1.27) - (xy -0.635 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.54 -1.27) - (xy -0.635 -1.27) - (xy -0.635 1.27) - (xy 0 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "74HC14_3_0" - (polyline - (pts - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 3.81 0) - (xy -3.81 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 0 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC14_3_1" - (polyline - (pts - (xy -1.905 -1.27) - (xy -1.905 1.27) - (xy -0.635 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.54 -1.27) - (xy -0.635 -1.27) - (xy -0.635 1.27) - (xy 0 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "74HC14_4_0" - (polyline - (pts - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 3.81 0) - (xy -3.81 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 0 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC14_4_1" - (polyline - (pts - (xy -1.905 -1.27) - (xy -1.905 1.27) - (xy -0.635 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.54 -1.27) - (xy -0.635 -1.27) - (xy -0.635 1.27) - (xy 0 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "74HC14_5_0" - (polyline - (pts - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 3.81 0) - (xy -3.81 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 0 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC14_5_1" - (polyline - (pts - (xy -1.905 -1.27) - (xy -1.905 1.27) - (xy -0.635 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.54 -1.27) - (xy -0.635 -1.27) - (xy -0.635 1.27) - (xy 0 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "74HC14_6_0" - (polyline - (pts - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 3.81 0) - (xy -3.81 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 0 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC14_6_1" - (polyline - (pts - (xy -1.905 -1.27) - (xy -1.905 1.27) - (xy -0.635 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.54 -1.27) - (xy -0.635 -1.27) - (xy -0.635 1.27) - (xy 0 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "74HC14_7_0" - (pin power_in line (at 0 12.7 270) (length 5.08) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -12.7 90) (length 5.08) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC14_7_1" - (rectangle (start -5.08 7.62) (end 5.08 -7.62) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - ) - (symbol "74xx:74HC165" (in_bom yes) (on_board yes) - (property "Reference" "U" (at -7.62 19.05 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC165" (at -7.62 -21.59 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/74HC_HCT165.pdf" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "8 bit shift register parallel load cmos" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Shift Register, 8-bit, Parallel Load" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP?16* SO*16*3.9x9.9mm*P1.27mm* SSOP*16*5.3x6.2mm*P0.65mm* TSSOP*16*4.4x5mm*P0.65*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "74HC165_1_0" - (pin input line (at -12.7 -10.16 0) (length 5.08) - (name "~{PL}" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 15.24 0) (length 5.08) - (name "DS" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 12.7 0) (length 5.08) - (name "D0" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 10.16 0) (length 5.08) - (name "D1" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 7.62 0) (length 5.08) - (name "D2" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 5.08 0) (length 5.08) - (name "D3" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -17.78 0) (length 5.08) - (name "~{CE}" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 22.86 270) (length 5.08) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -15.24 0) (length 5.08) - (name "CP" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 2.54 0) (length 5.08) - (name "D4" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 0 0) (length 5.08) - (name "D5" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -2.54 0) (length 5.08) - (name "D6" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -5.08 0) (length 5.08) - (name "D7" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 12.7 12.7 180) (length 5.08) - (name "~{Q7}" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -25.4 90) (length 5.08) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 12.7 15.24 180) (length 5.08) - (name "Q7" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC165_1_1" - (rectangle (start -7.62 17.78) (end 7.62 -20.32) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - ) - (symbol "74xx:74HC245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (at -7.62 16.51 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC245" (at -7.62 -16.51 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_locked" "" (at 0 0 0) - (effects (font (size 1.27 1.27))) - ) - (property "ki_keywords" "HCMOS BUS 3State" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Octal BUS Transceivers, 3-State outputs" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP?20*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "74HC245_1_0" - (polyline - (pts - (xy -0.635 -1.27) - (xy -0.635 1.27) - (xy 0.635 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 -1.27) - (xy 0.635 -1.27) - (xy 0.635 1.27) - (xy 1.27 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (pin input line (at -12.7 -10.16 0) (length 5.08) - (name "A->B" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -20.32 90) (length 5.08) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 -5.08 180) (length 5.08) - (name "B7" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 -2.54 180) (length 5.08) - (name "B6" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 0 180) (length 5.08) - (name "B5" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 2.54 180) (length 5.08) - (name "B4" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 5.08 180) (length 5.08) - (name "B3" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 7.62 180) (length 5.08) - (name "B2" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 10.16 180) (length 5.08) - (name "B1" (effects (font (size 1.27 1.27)))) - (number "17" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 12.7 180) (length 5.08) - (name "B0" (effects (font (size 1.27 1.27)))) - (number "18" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -12.7 -12.7 0) (length 5.08) - (name "CE" (effects (font (size 1.27 1.27)))) - (number "19" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 12.7 0) (length 5.08) - (name "A0" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 20.32 270) (length 5.08) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "20" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 10.16 0) (length 5.08) - (name "A1" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 7.62 0) (length 5.08) - (name "A2" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 5.08 0) (length 5.08) - (name "A3" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 2.54 0) (length 5.08) - (name "A4" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 0 0) (length 5.08) - (name "A5" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 -2.54 0) (length 5.08) - (name "A6" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at -12.7 -5.08 0) (length 5.08) - (name "A7" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC245_1_1" - (rectangle (start -7.62 15.24) (end 7.62 -15.24) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - ) - (symbol "74xx:74HC32" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (at 0 1.27 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC32" (at 0 -1.27 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W7.62mm" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc32.pdf" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_locked" "" (at 0 0 0) - (effects (font (size 1.27 1.27))) - ) - (property "ki_keywords" "TTL Or2" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Quad 2-input OR" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP?14*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "74HC32_1_1" - (arc (start -3.81 -3.81) (mid -2.589 0) (end -3.81 3.81) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (arc (start -0.6096 -3.81) (mid 2.1842 -2.5851) (end 3.81 0) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 3.81) - (xy -0.635 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -0.635 3.81) - (xy -3.81 3.81) - (xy -3.81 3.81) - (xy -3.556 3.4036) - (xy -3.0226 2.2606) - (xy -2.6924 1.0414) - (xy -2.6162 -0.254) - (xy -2.7686 -1.4986) - (xy -3.175 -2.7178) - (xy -3.81 -3.81) - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width -25.4) (type default)) - (fill (type background)) - ) - (arc (start 3.81 0) (mid 2.1915 2.5936) (end -0.6096 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 -2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC32_1_2" - (arc (start 0 -3.81) (mid 3.7934 0) (end 0 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy 0 3.81) - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 0 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input inverted (at -7.62 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC32_2_1" - (arc (start -3.81 -3.81) (mid -2.589 0) (end -3.81 3.81) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (arc (start -0.6096 -3.81) (mid 2.1842 -2.5851) (end 3.81 0) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 3.81) - (xy -0.635 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -0.635 3.81) - (xy -3.81 3.81) - (xy -3.81 3.81) - (xy -3.556 3.4036) - (xy -3.0226 2.2606) - (xy -2.6924 1.0414) - (xy -2.6162 -0.254) - (xy -2.7686 -1.4986) - (xy -3.175 -2.7178) - (xy -3.81 -3.81) - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width -25.4) (type default)) - (fill (type background)) - ) - (arc (start 3.81 0) (mid 2.1915 2.5936) (end -0.6096 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 -2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC32_2_2" - (arc (start 0 -3.81) (mid 3.7934 0) (end 0 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy 0 3.81) - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 0 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input inverted (at -7.62 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC32_3_1" - (arc (start -3.81 -3.81) (mid -2.589 0) (end -3.81 3.81) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (arc (start -0.6096 -3.81) (mid 2.1842 -2.5851) (end 3.81 0) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 3.81) - (xy -0.635 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -0.635 3.81) - (xy -3.81 3.81) - (xy -3.81 3.81) - (xy -3.556 3.4036) - (xy -3.0226 2.2606) - (xy -2.6924 1.0414) - (xy -2.6162 -0.254) - (xy -2.7686 -1.4986) - (xy -3.175 -2.7178) - (xy -3.81 -3.81) - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width -25.4) (type default)) - (fill (type background)) - ) - (arc (start 3.81 0) (mid 2.1915 2.5936) (end -0.6096 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -7.62 -2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC32_3_2" - (arc (start 0 -3.81) (mid 3.7934 0) (end 0 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy 0 3.81) - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 0 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input inverted (at -7.62 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC32_4_1" - (arc (start -3.81 -3.81) (mid -2.589 0) (end -3.81 3.81) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - (arc (start -0.6096 -3.81) (mid 2.1842 -2.5851) (end 3.81 0) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -3.81 3.81) - (xy -0.635 3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy -0.635 3.81) - (xy -3.81 3.81) - (xy -3.81 3.81) - (xy -3.556 3.4036) - (xy -3.0226 2.2606) - (xy -2.6924 1.0414) - (xy -2.6162 -0.254) - (xy -2.7686 -1.4986) - (xy -3.175 -2.7178) - (xy -3.81 -3.81) - (xy -3.81 -3.81) - (xy -0.635 -3.81) - ) - (stroke (width -25.4) (type default)) - (fill (type background)) - ) - (arc (start 3.81 0) (mid 2.1915 2.5936) (end -0.6096 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin output line (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -7.62 -2.54 0) (length 4.318) - (name "~" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC32_4_2" - (arc (start 0 -3.81) (mid 3.7934 0) (end 0 3.81) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (polyline - (pts - (xy 0 3.81) - (xy -3.81 3.81) - (xy -3.81 -3.81) - (xy 0 -3.81) - ) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin output inverted (at 7.62 0 180) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin input inverted (at -7.62 -2.54 0) (length 3.81) - (name "~" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC32_5_0" - (pin power_in line (at 0 12.7 270) (length 5.08) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -12.7 90) (length 5.08) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC32_5_1" - (rectangle (start -5.08 7.62) (end 5.08 -7.62) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - ) - (symbol "74xx:74HC373" (in_bom yes) (on_board yes) - (property "Reference" "U" (at -7.62 16.51 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC373" (at -7.62 -16.51 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/cd54hc373.pdf" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "HCMOS REG DFF DFF8 LATCH" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "8-bit Latch, 3-state outputs" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP?20* SOIC?20* SO?20* SSOP?20* TSSOP?20*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "74HC373_1_0" - (pin input inverted (at -12.7 -12.7 0) (length 5.08) - (name "OE" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -20.32 90) (length 5.08) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -10.16 0) (length 5.08) - (name "LE" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 2.54 180) (length 5.08) - (name "O4" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 2.54 0) (length 5.08) - (name "D4" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 0 0) (length 5.08) - (name "D5" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 0 180) (length 5.08) - (name "O5" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 -2.54 180) (length 5.08) - (name "O6" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -2.54 0) (length 5.08) - (name "D6" (effects (font (size 1.27 1.27)))) - (number "17" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -5.08 0) (length 5.08) - (name "D7" (effects (font (size 1.27 1.27)))) - (number "18" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 -5.08 180) (length 5.08) - (name "O7" (effects (font (size 1.27 1.27)))) - (number "19" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 12.7 180) (length 5.08) - (name "O0" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 20.32 270) (length 5.08) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "20" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 12.7 0) (length 5.08) - (name "D0" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 10.16 0) (length 5.08) - (name "D1" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 10.16 180) (length 5.08) - (name "O1" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 7.62 180) (length 5.08) - (name "O2" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 7.62 0) (length 5.08) - (name "D2" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 5.08 0) (length 5.08) - (name "D3" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 5.08 180) (length 5.08) - (name "O3" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "74HC373_1_1" - (rectangle (start -7.62 15.24) (end 7.62 -15.24) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - ) - (symbol "GPU:MC6845" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (at -10.16 34.29 0) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Value" "MC6845" (at 12.7 34.29 0) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "Package_DIP:DIP-40_W15.24mm" (at 1.27 -34.29 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - (property "Datasheet" "http://pdf.datasheetcatalog.com/datasheet_pdf/motorola/MC6845L_and_MC6845P.pdf" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "CRT controller" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "CRT Controller 1MHz, DIP-40" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP*W15.24mm*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "MC6845_0_1" - (rectangle (start -12.7 -33.02) (end 12.7 33.02) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - (symbol "MC6845_1_1" - (pin power_in line (at 0 -35.56 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 15.24 180) (length 2.54) - (name "MA6" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 12.7 180) (length 2.54) - (name "MA7" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 10.16 180) (length 2.54) - (name "MA8" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 7.62 180) (length 2.54) - (name "MA9" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 5.08 180) (length 2.54) - (name "MA10" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 2.54 180) (length 2.54) - (name "MA11" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 0 180) (length 2.54) - (name "MA12" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 -2.54 180) (length 2.54) - (name "MA13" (effects (font (size 1.27 1.27)))) - (number "17" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 -22.86 180) (length 2.54) - (name "DE" (effects (font (size 1.27 1.27)))) - (number "18" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 -30.48 180) (length 2.54) - (name "CURSOR" (effects (font (size 1.27 1.27)))) - (number "19" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -15.24 -2.54 0) (length 2.54) - (name "~{RESET}" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 35.56 270) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "20" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -15.24 -7.62 0) (length 2.54) - (name "CLK" (effects (font (size 1.27 1.27)))) - (number "21" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -15.24 7.62 0) (length 2.54) - (name "R/~{W}" (effects (font (size 1.27 1.27)))) - (number "22" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -15.24 0 0) (length 2.54) - (name "E" (effects (font (size 1.27 1.27)))) - (number "23" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -15.24 2.54 0) (length 2.54) - (name "RS" (effects (font (size 1.27 1.27)))) - (number "24" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -15.24 5.08 0) (length 2.54) - (name "~{CS}" (effects (font (size 1.27 1.27)))) - (number "25" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -15.24 12.7 0) (length 2.54) - (name "D7" (effects (font (size 1.27 1.27)))) - (number "26" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -15.24 15.24 0) (length 2.54) - (name "D6" (effects (font (size 1.27 1.27)))) - (number "27" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -15.24 17.78 0) (length 2.54) - (name "D5" (effects (font (size 1.27 1.27)))) - (number "28" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -15.24 20.32 0) (length 2.54) - (name "D4" (effects (font (size 1.27 1.27)))) - (number "29" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -15.24 -12.7 0) (length 2.54) - (name "LPSTB" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -15.24 22.86 0) (length 2.54) - (name "D3" (effects (font (size 1.27 1.27)))) - (number "30" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -15.24 25.4 0) (length 2.54) - (name "D2" (effects (font (size 1.27 1.27)))) - (number "31" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -15.24 27.94 0) (length 2.54) - (name "D1" (effects (font (size 1.27 1.27)))) - (number "32" (effects (font (size 1.27 1.27)))) - ) - (pin bidirectional line (at -15.24 30.48 0) (length 2.54) - (name "D0" (effects (font (size 1.27 1.27)))) - (number "33" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 -17.78 180) (length 2.54) - (name "RA4" (effects (font (size 1.27 1.27)))) - (number "34" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 -15.24 180) (length 2.54) - (name "RA3" (effects (font (size 1.27 1.27)))) - (number "35" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 -12.7 180) (length 2.54) - (name "RA2" (effects (font (size 1.27 1.27)))) - (number "36" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 -10.16 180) (length 2.54) - (name "RA1" (effects (font (size 1.27 1.27)))) - (number "37" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 -7.62 180) (length 2.54) - (name "RA0" (effects (font (size 1.27 1.27)))) - (number "38" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 -25.4 180) (length 2.54) - (name "HS" (effects (font (size 1.27 1.27)))) - (number "39" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 30.48 180) (length 2.54) - (name "MA0" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 -27.94 180) (length 2.54) - (name "VS" (effects (font (size 1.27 1.27)))) - (number "40" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 27.94 180) (length 2.54) - (name "MA1" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 25.4 180) (length 2.54) - (name "MA2" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 22.86 180) (length 2.54) - (name "MA3" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 20.32 180) (length 2.54) - (name "MA4" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin output line (at 15.24 17.78 180) (length 2.54) - (name "MA5" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Memory_EEPROM:28C256" (in_bom yes) (on_board yes) - (property "Reference" "U" (at -7.62 26.67 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "28C256" (at 2.54 -26.67 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "Parallel EEPROM 256Kb" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Paged Parallel EEPROM 256Kb (32K x 8), DIP-28/SOIC-28" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP*W15.24mm* SOIC*7.5x17.9mm*P1.27mm*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "28C256_1_1" - (rectangle (start -7.62 25.4) (end 7.62 -25.4) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - (pin input line (at -10.16 -12.7 0) (length 2.54) - (name "A14" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 22.86 0) (length 2.54) - (name "A0" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 22.86 180) (length 2.54) - (name "D0" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 20.32 180) (length 2.54) - (name "D1" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 17.78 180) (length 2.54) - (name "D2" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -27.94 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 15.24 180) (length 2.54) - (name "D3" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 12.7 180) (length 2.54) - (name "D4" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 10.16 180) (length 2.54) - (name "D5" (effects (font (size 1.27 1.27)))) - (number "17" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 7.62 180) (length 2.54) - (name "D6" (effects (font (size 1.27 1.27)))) - (number "18" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 10.16 5.08 180) (length 2.54) - (name "D7" (effects (font (size 1.27 1.27)))) - (number "19" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -7.62 0) (length 2.54) - (name "A12" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -22.86 0) (length 2.54) - (name "~{CS}" (effects (font (size 1.27 1.27)))) - (number "20" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -2.54 0) (length 2.54) - (name "A10" (effects (font (size 1.27 1.27)))) - (number "21" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -20.32 0) (length 2.54) - (name "~{OE}" (effects (font (size 1.27 1.27)))) - (number "22" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -5.08 0) (length 2.54) - (name "A11" (effects (font (size 1.27 1.27)))) - (number "23" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 0 0) (length 2.54) - (name "A9" (effects (font (size 1.27 1.27)))) - (number "24" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 2.54 0) (length 2.54) - (name "A8" (effects (font (size 1.27 1.27)))) - (number "25" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -10.16 0) (length 2.54) - (name "A13" (effects (font (size 1.27 1.27)))) - (number "26" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -17.78 0) (length 2.54) - (name "~{WE}" (effects (font (size 1.27 1.27)))) - (number "27" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 27.94 270) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "28" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 5.08 0) (length 2.54) - (name "A7" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 7.62 0) (length 2.54) - (name "A6" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 10.16 0) (length 2.54) - (name "A5" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 12.7 0) (length 2.54) - (name "A4" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 15.24 0) (length 2.54) - (name "A3" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 17.78 0) (length 2.54) - (name "A2" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 20.32 0) (length 2.54) - (name "A1" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "Memory_RAM:CY62256-70PC" (in_bom yes) (on_board yes) - (property "Reference" "U" (at -10.16 20.955 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - ) - (property "Value" "CY62256-70PC" (at 2.54 20.955 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - ) - (property "Footprint" "Package_DIP:DIP-28_W15.24mm" (at 0 -2.54 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://ecee.colorado.edu/~mcclurel/Cypress_SRAM_CY62256.pdf" (at 0 -2.54 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "RAM SRAM CMOS MEMORY" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "256K (32K x 8) Static RAM, 70ns, DIP-28" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "DIP*W15.24mm*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "CY62256-70PC_0_0" - (pin power_in line (at 0 -22.86 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "14" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 22.86 270) (length 2.54) - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "28" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "CY62256-70PC_0_1" - (rectangle (start -10.16 20.32) (end 10.16 -20.32) - (stroke (width 0.254) (type default)) - (fill (type background)) - ) - ) - (symbol "CY62256-70PC_1_1" - (pin input line (at -12.7 -17.78 0) (length 2.54) - (name "A14" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 17.78 0) (length 2.54) - (name "A0" (effects (font (size 1.27 1.27)))) - (number "10" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 17.78 180) (length 2.54) - (name "Q0" (effects (font (size 1.27 1.27)))) - (number "11" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 15.24 180) (length 2.54) - (name "Q1" (effects (font (size 1.27 1.27)))) - (number "12" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 12.7 180) (length 2.54) - (name "Q2" (effects (font (size 1.27 1.27)))) - (number "13" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 10.16 180) (length 2.54) - (name "Q3" (effects (font (size 1.27 1.27)))) - (number "15" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 7.62 180) (length 2.54) - (name "Q4" (effects (font (size 1.27 1.27)))) - (number "16" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 5.08 180) (length 2.54) - (name "Q5" (effects (font (size 1.27 1.27)))) - (number "17" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 2.54 180) (length 2.54) - (name "Q6" (effects (font (size 1.27 1.27)))) - (number "18" (effects (font (size 1.27 1.27)))) - ) - (pin tri_state line (at 12.7 0 180) (length 2.54) - (name "Q7" (effects (font (size 1.27 1.27)))) - (number "19" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -12.7 0) (length 2.54) - (name "A12" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at 12.7 -5.08 180) (length 2.54) - (name "~{CS}" (effects (font (size 1.27 1.27)))) - (number "20" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -7.62 0) (length 2.54) - (name "A10" (effects (font (size 1.27 1.27)))) - (number "21" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at 12.7 -10.16 180) (length 2.54) - (name "~{OE}" (effects (font (size 1.27 1.27)))) - (number "22" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -10.16 0) (length 2.54) - (name "A11" (effects (font (size 1.27 1.27)))) - (number "23" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -5.08 0) (length 2.54) - (name "A9" (effects (font (size 1.27 1.27)))) - (number "24" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -2.54 0) (length 2.54) - (name "A8" (effects (font (size 1.27 1.27)))) - (number "25" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 -15.24 0) (length 2.54) - (name "A13" (effects (font (size 1.27 1.27)))) - (number "26" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at 12.7 -12.7 180) (length 2.54) - (name "~{WE}" (effects (font (size 1.27 1.27)))) - (number "27" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 0 0) (length 2.54) - (name "A7" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 2.54 0) (length 2.54) - (name "A6" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 5.08 0) (length 2.54) - (name "A5" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 7.62 0) (length 2.54) - (name "A4" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 10.16 0) (length 2.54) - (name "A3" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 12.7 0) (length 2.54) - (name "A2" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -12.7 15.24 0) (length 2.54) - (name "A1" (effects (font (size 1.27 1.27)))) - (number "9" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "kitty:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "R" (at 2.032 0 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "R" (at 0 0 90) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at -1.778 0 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "R res resistor" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Resistor" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "R_*" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "R_0_1" - (rectangle (start -1.016 -2.54) (end 1.016 2.54) - (stroke (width 0.254) (type default)) - (fill (type none)) - ) - ) - (symbol "R_1_1" - (pin passive line (at 0 3.81 270) (length 1.27) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -3.81 90) (length 1.27) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 0 3.556 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "global power" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "+5V_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 0) - (xy 0 2.54) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 2.54) - (xy 0.762 1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "+5V_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "+5V" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (at 0 -6.35 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 0 -3.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "global power" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "GND_0_1" - (polyline - (pts - (xy 0 0) - (xy 0 -1.27) - (xy 1.27 -1.27) - (xy 0 -2.54) - (xy -1.27 -1.27) - (xy 0 -1.27) - ) - (stroke (width 0) (type default)) - (fill (type none)) - ) - ) - (symbol "GND_1_1" - (pin power_in line (at 0 0 270) (length 0) hide - (name "GND" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - ) - - (junction (at 303.53 186.69) (diameter 0) (color 0 0 0 0) - (uuid e41c7295-f053-4c8b-8851-f651c8186ab4) - ) - - (no_connect (at 153.67 252.73) (uuid 009fdf76-7cd3-428a-9870-c17b0cb51f18)) - (no_connect (at 58.42 259.08) (uuid 0d58d9af-aaa4-438c-bc3b-4956da9bcb91)) - (no_connect (at 38.1 220.98) (uuid 111daf3b-3300-4a4e-973d-75c306240fc5)) - (no_connect (at 187.96 255.27) (uuid 1301f5cc-0ef9-4ac7-8f8d-5bfacef3710b)) - (no_connect (at 140.97 157.48) (uuid 1cd27313-def5-4577-a73b-d84d4a682526)) - (no_connect (at 361.95 140.97) (uuid 2767d54d-66f6-4997-a5de-c84689bcec07)) - (no_connect (at 153.67 257.81) (uuid 27bbe350-2e24-4689-ba89-7551310769ba)) - (no_connect (at 172.72 252.73) (uuid 28106be5-b049-46fe-b7ef-688b8cf5218f)) - (no_connect (at 212.09 255.27) (uuid 3a561cbe-0aec-49d7-85a3-5e13388ace82)) - (no_connect (at 73.66 259.08) (uuid 3beb5ac9-95bc-4bfd-81a6-715bdf692b04)) - (no_connect (at 172.72 257.81) (uuid 53bdfe76-bbcd-48c8-8388-ccc6b38366e0)) - (no_connect (at 195.58 157.48) (uuid 59e01b31-9dd6-42b5-a354-39433aba0602)) - (no_connect (at 115.57 157.48) (uuid 67d7e1bf-691c-48b9-add4-547f3eab1ea3)) - (no_connect (at 170.18 157.48) (uuid 69dd0a26-0ffc-4408-bb90-0466a305bdca)) - (no_connect (at 196.85 257.81) (uuid aaddd7a9-5dcc-43a5-ae4d-e08624d390c1)) - (no_connect (at 22.86 220.98) (uuid aee49d9e-8230-4fbd-be46-437c9c23ceb4)) - (no_connect (at 196.85 252.73) (uuid cdb85680-6d64-4fc7-bb81-c3e2bf20da9f)) - (no_connect (at 168.91 255.27) (uuid e5eeda08-8b38-463f-817d-cd7c7f4caf5b)) - - (bus_entry (at 147.32 147.32) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 0095f917-0699-4be8-a48e-fed973a4d4ba) - ) - (bus_entry (at 96.52 88.9) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 01ce8394-6724-4346-a6cf-a06c8697b871) - ) - (bus_entry (at 254 86.36) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 03e721db-315a-481a-8785-0403ffa8efc1) - ) - (bus_entry (at 147.32 93.98) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 06f03bda-19bd-4d86-9110-3b9f7bc71e7f) - ) - (bus_entry (at 46.99 86.36) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 0a0a8205-a212-4074-ac0a-2ad675c975cf) - ) - (bus_entry (at 254 101.6) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 0e35145a-26ac-43ea-b233-1192eb0e495a) - ) - (bus_entry (at 256.54 153.67) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 0fb556d2-d961-4d16-b9bf-0c06c0499440) - ) - (bus_entry (at 106.68 88.9) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 10c2b07c-e6a0-438b-97d7-7878e0528595) - ) - (bus_entry (at 209.55 86.36) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 10f823b2-b31c-4826-804f-f4399e6ad4bf) - ) - (bus_entry (at 256.54 81.28) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 126ebde0-6ef4-4818-876c-f2e4e50958bd) - ) - (bus_entry (at 209.55 144.78) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 139edcde-6515-4d2b-aa87-c4591d323502) - ) - (bus_entry (at 106.68 81.28) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 13a984b3-5670-4396-851c-9dd58b83e3b2) - ) - (bus_entry (at 256.54 156.21) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 17092557-81ca-440b-9781-c96195cd06ee) - ) - (bus_entry (at 158.75 93.98) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 19195208-f7c4-40a4-8883-023e1504d01a) - ) - (bus_entry (at 158.75 91.44) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 191a0713-7286-418e-aea0-0557af4aa7d1) - ) - (bus_entry (at 299.72 93.98) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 1c620a96-48be-493b-a7a4-c9cf5d85c152) - ) - (bus_entry (at 147.32 144.78) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 1ef16283-3379-49cc-89b6-9cd5f1f78e46) - ) - (bus_entry (at 256.54 138.43) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 2251a7d6-e578-426a-9e90-d0ef974c30ec) - ) - (bus_entry (at 96.52 104.14) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 25a479be-38c5-4518-bfb3-0db030ea9a54) - ) - (bus_entry (at 147.32 149.86) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 26679aa8-6413-464a-88df-0f4ec9cc3f7d) - ) - (bus_entry (at 254 93.98) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 2973f24f-e055-4b13-9286-81ff01447ef9) - ) - (bus_entry (at 209.55 91.44) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 2b8497f7-1470-467b-bb48-f9cc993ae1da) - ) - (bus_entry (at 158.75 83.82) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 2c637147-e115-4c90-adc7-83e21afa8f10) - ) - (bus_entry (at 158.75 147.32) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 2f3b1b13-96f4-4ec1-8fa4-c2e636b0f4fb) - ) - (bus_entry (at 209.55 99.06) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 30746714-f32f-48d9-b2eb-1da8574836db) - ) - (bus_entry (at 209.55 88.9) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 3313504a-f071-4fb7-9eb9-b6e5f26fa8c1) - ) - (bus_entry (at 158.75 137.16) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 3405fb25-e54d-40e3-b2a8-df91f4f30911) - ) - (bus_entry (at 299.72 91.44) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 39d790f7-cc81-4f03-a170-e40b6979b9ce) - ) - (bus_entry (at 256.54 146.05) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 3adfdf24-2e6b-4df1-b885-37694b63ed35) - ) - (bus_entry (at 106.68 99.06) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 3c106cf5-5222-48b1-a6bf-3a47eef8b8a0) - ) - (bus_entry (at 209.55 116.84) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 3d9731cc-3c36-4907-8fe8-01847ae3883b) - ) - (bus_entry (at 106.68 139.7) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 3e789ee5-a60b-43c5-b3ab-0bbd1ea94aad) - ) - (bus_entry (at 96.52 111.76) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 3ffea260-82c8-432a-9e8e-d3a7b002a6cc) - ) - (bus_entry (at 209.55 96.52) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 430a79d2-4df8-4f0d-9ab4-9418db4d408a) - ) - (bus_entry (at 299.72 96.52) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 449ea6c6-99c7-4b75-b797-b72ecc3b3a98) - ) - (bus_entry (at 106.68 147.32) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 488f3a33-9e96-4f39-a513-661e84447b97) - ) - (bus_entry (at 147.32 139.7) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 48d6bd7a-cf63-4079-8bc1-d554a4c41b35) - ) - (bus_entry (at 256.54 93.98) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 49e42d23-8a67-4a68-86d0-673fdc002809) - ) - (bus_entry (at 147.32 99.06) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 4a5b8a48-2572-40ed-9d26-07fd89ece5dc) - ) - (bus_entry (at 147.32 96.52) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 4bdf2acc-1db0-4594-8a22-ebc4762b60ac) - ) - (bus_entry (at 147.32 101.6) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 4db25b1d-a6a9-41f6-bf00-e062247007a1) - ) - (bus_entry (at 299.72 99.06) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 4e12c1d9-39f3-4978-82e1-cc5b4b1c8df7) - ) - (bus_entry (at 299.72 88.9) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 50bf3e30-41e4-411b-b983-97c6e4cc7dd6) - ) - (bus_entry (at 147.32 88.9) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 50e4dd2a-aada-4d3b-a587-3dd0cca5a044) - ) - (bus_entry (at 209.55 96.52) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 53d8192a-098b-41bd-9165-748831feb72c) - ) - (bus_entry (at 46.99 81.28) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 5629c58d-07e4-479c-be32-2cb6c9ace389) - ) - (bus_entry (at 46.99 99.06) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 5775b881-a0f5-4569-b47d-241dc70930f0) - ) - (bus_entry (at 256.54 143.51) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 5bb58f03-7b8f-4d7d-b765-42727fcfaaa0) - ) - (bus_entry (at 209.55 106.68) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 5cc799ca-d3f6-43ce-9332-a549c5b55d54) - ) - (bus_entry (at 106.68 83.82) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 5f367111-a4f8-476b-ab5c-fdf3ba082348) - ) - (bus_entry (at 106.68 142.24) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 62ee9c04-4ea4-4e61-be5a-7cb6af7ddb65) - ) - (bus_entry (at 147.32 91.44) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 635bb527-a2df-477f-8a71-e1a2d7dab561) - ) - (bus_entry (at 106.68 86.36) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 64a476ce-5b5f-4538-9b74-36a6b4e5478c) - ) - (bus_entry (at 256.54 83.82) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 64d8e591-4973-4ea9-a1ef-903f80af8e82) - ) - (bus_entry (at 254 96.52) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 663326f9-a181-47cd-a339-f9c9340bb62a) - ) - (bus_entry (at 256.54 151.13) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 67d2bd30-bca1-414c-8341-e2bf47044a84) - ) - (bus_entry (at 209.55 149.86) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 6a7f06de-02ea-475b-bed4-47252846ef90) - ) - (bus_entry (at 209.55 152.4) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 6bb9bf82-9f40-4b7b-85b2-44c7fb70dfff) - ) - (bus_entry (at 96.52 86.36) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 6bf2b550-543f-49e0-9132-a912bea9e61a) - ) - (bus_entry (at 209.55 157.48) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 6faa3903-2c3a-456c-84fc-fa4220da7e51) - ) - (bus_entry (at 96.52 106.68) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 70170c9e-0acb-45fd-b8f5-3985a5c1a9de) - ) - (bus_entry (at 209.55 111.76) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 727e65e1-defa-4d6b-afd6-e8a0e5419a61) - ) - (bus_entry (at 209.55 114.3) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 7507358a-30de-40f3-821f-1ee9d32de884) - ) - (bus_entry (at 299.72 83.82) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 75ab6512-e23b-4d8b-997f-2afc63e66636) - ) - (bus_entry (at 256.54 91.44) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 7a62a32b-895e-4054-959b-ad6bdbbf064d) - ) - (bus_entry (at 209.55 86.36) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 7b3569ca-e571-4429-a9a1-79f12ea8d71c) - ) - (bus_entry (at 254 83.82) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 7befef95-505e-42e5-9563-87b05bb0a610) - ) - (bus_entry (at 96.52 116.84) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 7ce05b57-e86f-46f8-b429-3312b32255af) - ) - (bus_entry (at 209.55 147.32) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 7f7ad328-0b64-49a1-9d03-ddf2f7baaef3) - ) - (bus_entry (at 256.54 148.59) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 81022cb3-5659-4479-bb23-39dbb1720892) - ) - (bus_entry (at 96.52 119.38) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 8183171a-24ff-4194-8bfa-ea71812e0e07) - ) - (bus_entry (at 256.54 88.9) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 837d8bb3-401a-4704-a4ba-9d7925a5e6e2) - ) - (bus_entry (at 209.55 104.14) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 83ad8385-fbb3-471c-85d7-dd5e4e839395) - ) - (bus_entry (at 46.99 96.52) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 8540b3b9-c285-48f8-8b03-313d2145c31d) - ) - (bus_entry (at 209.55 88.9) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 89062916-ca87-462c-a268-38a2287f12b2) - ) - (bus_entry (at 96.52 114.3) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 8c917c2b-6ff8-4d02-bbe2-427de1bdd5d7) - ) - (bus_entry (at 46.99 93.98) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 935c3afc-62c6-4b57-b3fb-799c112c9af3) - ) - (bus_entry (at 254 88.9) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 95bf1f67-4479-4b62-ba32-65f80472ed01) - ) - (bus_entry (at 158.75 142.24) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 9701ab35-c092-4536-8b74-2dbfd8a9a89d) - ) - (bus_entry (at 256.54 99.06) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 9dbbf3f3-0a62-4f8d-baa9-1936b3f198f0) - ) - (bus_entry (at 96.52 109.22) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid 9e313f80-ccbe-48cb-816d-d0a5935415a8) - ) - (bus_entry (at 256.54 96.52) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 9e864392-c00f-4405-8c96-8a56669c021a) - ) - (bus_entry (at 106.68 149.86) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid 9fdcb36d-0fba-46c6-bbce-9c6387dfd08a) - ) - (bus_entry (at 209.55 109.22) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid a178b13f-b573-44d9-b0d6-b201f101065d) - ) - (bus_entry (at 209.55 154.94) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid a204a2ad-c0c5-4fc5-983d-ed2c1d694f5d) - ) - (bus_entry (at 46.99 83.82) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid a3503ad6-6255-4fa1-a3fb-e016ecb4127a) - ) - (bus_entry (at 209.55 93.98) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid a36f2336-9730-478c-b6f2-d8d0bf546d49) - ) - (bus_entry (at 209.55 142.24) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid a3902d03-58eb-4362-a461-d910a3febdf5) - ) - (bus_entry (at 96.52 96.52) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid a4609431-fde9-48e2-b022-cacab2e43e2e) - ) - (bus_entry (at 46.99 91.44) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid a866049e-a6e0-43fa-b8ea-1cc19625fdd9) - ) - (bus_entry (at 106.68 91.44) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid a9f6158e-c2d6-42ea-8af3-38df031725e9) - ) - (bus_entry (at 106.68 144.78) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid ab91c2ad-b945-408f-a076-f98fde27ec38) - ) - (bus_entry (at 96.52 101.6) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid af13fa4a-8d47-4316-a876-e1eb05162d74) - ) - (bus_entry (at 209.55 81.28) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid b07fe230-eb8a-4a24-9cf8-0b9ad2e27e08) - ) - (bus_entry (at 158.75 96.52) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid b153611e-6cfb-40d1-a093-b91b7bdbc025) - ) - (bus_entry (at 147.32 142.24) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid b61e3777-1c75-4630-a1db-284ae9c6cac6) - ) - (bus_entry (at 254 91.44) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid b6aab3d0-ad33-4c6a-867f-efcbcfaf8c08) - ) - (bus_entry (at 46.99 111.76) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid b8921af9-e1d7-42fd-90f0-a31b926395c4) - ) - (bus_entry (at 46.99 88.9) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid b9029977-2c8e-421c-a62b-b58d160c311b) - ) - (bus_entry (at 158.75 99.06) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid b915b7c5-9b3b-47c3-b8f0-69eb3555ee14) - ) - (bus_entry (at 106.68 96.52) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid ba15796d-c57d-46f3-bfb5-22a74720c008) - ) - (bus_entry (at 158.75 88.9) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid ba287e97-1127-428e-9c85-1cff9118b5a8) - ) - (bus_entry (at 254 99.06) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid bb347d85-c89d-4e6e-a689-a39547090738) - ) - (bus_entry (at 209.55 91.44) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid bfe6cca6-311b-4ae8-ba4a-5806afe72ab3) - ) - (bus_entry (at 209.55 101.6) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid c41bf8c6-dd2f-4ca3-bede-b7ca747a71fc) - ) - (bus_entry (at 158.75 81.28) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid c63523e2-7aa4-4658-9987-2f9c3202f7d7) - ) - (bus_entry (at 256.54 86.36) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid c65ee8f1-b9b8-4b30-ab69-5dcdbaaf4e1c) - ) - (bus_entry (at 147.32 83.82) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid cb4730e2-d2a2-4b12-bf47-4a816dab4d79) - ) - (bus_entry (at 209.55 83.82) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid cb97f8a7-4049-4594-acab-688da1eb3a36) - ) - (bus_entry (at 209.55 104.14) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid d024af70-0ac1-454c-adca-89fdea4b5fbb) - ) - (bus_entry (at 209.55 93.98) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid d1e5a431-220e-46a2-854d-8fea7d0b6b06) - ) - (bus_entry (at 299.72 101.6) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid d4d9c6ff-c061-462b-a228-98146f9f38eb) - ) - (bus_entry (at 299.72 86.36) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid d89ddb1e-e85c-402c-9d38-76944b2b2251) - ) - (bus_entry (at 256.54 140.97) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid dbc8ae58-6ddf-43d6-9e0c-c1d6d8145fc4) - ) - (bus_entry (at 96.52 99.06) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid e120782d-3b75-4d47-92f4-c65b78b4b2f0) - ) - (bus_entry (at 106.68 152.4) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid e3385338-3e5d-42cc-9155-88f499597694) - ) - (bus_entry (at 147.32 86.36) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid e7785fe2-7fb2-4239-861f-3f7326c7c0a2) - ) - (bus_entry (at 147.32 152.4) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid e88096f9-7e4d-4159-bd04-7f5ab2208b7e) - ) - (bus_entry (at 106.68 93.98) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid e9bee5bb-0140-4171-8736-47d11fbfe770) - ) - (bus_entry (at 209.55 99.06) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid ee46d806-4108-4394-92f2-d69e03d7bdf8) - ) - (bus_entry (at 158.75 139.7) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid f085b35e-0f6b-41b7-b539-9096971c93ee) - ) - (bus_entry (at 209.55 101.6) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid f507ecc0-2515-41eb-82d4-b3ef7c0f84dd) - ) - (bus_entry (at 106.68 137.16) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid f9e11a6d-d361-4d31-9143-f531ec4a1d01) - ) - (bus_entry (at 96.52 93.98) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid fa6eb1c6-af77-4b03-a705-374a00818a98) - ) - (bus_entry (at 96.52 91.44) (size -2.54 -2.54) - (stroke (width 0) (type default)) - (uuid fca813ce-6b8d-4ddb-b83c-1246293d605c) - ) - (bus_entry (at 158.75 144.78) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid fe1acf91-8957-46be-b45c-294da4970e17) - ) - (bus_entry (at 158.75 86.36) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid fe4bbdd3-9d42-4f1e-9a25-ffe19d6076ea) - ) - (bus_entry (at 147.32 154.94) (size 2.54 2.54) - (stroke (width 0) (type default)) - (uuid ffe6c45a-945f-4639-84bb-526ef6d706a1) - ) - - (wire (pts (xy 161.29 83.82) (xy 170.18 83.82)) - (stroke (width 0) (type default)) - (uuid 0002d5c5-2885-4ace-8a23-06091b0036d2) - ) - (bus (pts (xy 106.68 99.06) (xy 106.68 137.16)) - (stroke (width 0) (type default)) - (uuid 009e49ce-f00a-42f2-abba-2dab1989a8c0) - ) - (bus (pts (xy 256.54 91.44) (xy 256.54 93.98)) - (stroke (width 0) (type default)) - (uuid 0129fc07-2c8f-4f48-b67f-a1250c8409e6) - ) - (bus (pts (xy 302.26 88.9) (xy 302.26 91.44)) - (stroke (width 0) (type default)) - (uuid 01a734d2-194f-4f02-a1c3-b653d7d45beb) - ) - - (wire (pts (xy 88.9 88.9) (xy 93.98 88.9)) - (stroke (width 0) (type default)) - (uuid 029a7052-a6c2-434f-80ee-6b13c6540107) - ) - (bus (pts (xy 149.86 147.32) (xy 149.86 149.86)) - (stroke (width 0) (type default)) - (uuid 02f04f5d-5a8e-418c-9c4c-f3db6fbcca66) - ) - - (wire (pts (xy 212.09 106.68) (xy 219.71 106.68)) - (stroke (width 0) (type default)) - (uuid 041af53c-f813-4021-b058-aba5e344b64f) - ) - (wire (pts (xy 195.58 96.52) (xy 207.01 96.52)) - (stroke (width 0) (type default)) - (uuid 04dc2913-b3fb-4c35-8637-2ebd01d00e27) - ) - (bus (pts (xy 209.55 88.9) (xy 209.55 91.44)) - (stroke (width 0) (type default)) - (uuid 062a2059-c505-401c-b541-d2de45bc90de) - ) - - (wire (pts (xy 293.37 146.05) (xy 303.53 146.05)) - (stroke (width 0) (type default)) - (uuid 06fbf73d-ed49-4064-97ee-2210227f1489) - ) - (wire (pts (xy 259.08 153.67) (xy 267.97 153.67)) - (stroke (width 0) (type default)) - (uuid 0b9d094b-66cf-4faa-b952-21f66eb0f356) - ) - (wire (pts (xy 49.53 96.52) (xy 58.42 96.52)) - (stroke (width 0) (type default)) - (uuid 0be9362c-c80c-409c-962c-b9bf5ec68a1a) - ) - (wire (pts (xy 88.9 96.52) (xy 93.98 96.52)) - (stroke (width 0) (type default)) - (uuid 0c88f9ce-25df-40e0-9017-6d2690f95598) - ) - (wire (pts (xy 293.37 153.67) (xy 303.53 153.67)) - (stroke (width 0) (type default)) - (uuid 0e81295f-19eb-4fa6-975f-07b78b63f8b0) - ) - (bus (pts (xy 209.55 149.86) (xy 209.55 152.4)) - (stroke (width 0) (type default)) - (uuid 10304b75-0ccd-47c2-8239-96f7af81376b) - ) - (bus (pts (xy 106.68 88.9) (xy 106.68 91.44)) - (stroke (width 0) (type default)) - (uuid 1035db82-f91e-46fe-b3ae-f5b7ec6ec378) - ) - - (wire (pts (xy 161.29 101.6) (xy 170.18 101.6)) - (stroke (width 0) (type default)) - (uuid 1052485a-0bd3-4cff-bd7b-b997bdcada0c) - ) - (wire (pts (xy 195.58 149.86) (xy 207.01 149.86)) - (stroke (width 0) (type default)) - (uuid 10d59b5a-2456-4db2-963a-0ef24bc3ea1f) - ) - (wire (pts (xy 140.97 99.06) (xy 147.32 99.06)) - (stroke (width 0) (type default)) - (uuid 1134fd28-ebe0-4c94-9b79-8b5dfdcd790e) - ) - (bus (pts (xy 209.55 116.84) (xy 209.55 142.24)) - (stroke (width 0) (type default)) - (uuid 118134ae-3f31-401a-8061-d677c7c9f830) - ) - - (wire (pts (xy 140.97 83.82) (xy 147.32 83.82)) - (stroke (width 0) (type default)) - (uuid 13036e05-459a-430b-869a-d7e371f610c8) - ) - (wire (pts (xy 88.9 106.68) (xy 93.98 106.68)) - (stroke (width 0) (type default)) - (uuid 13c6d278-bc61-4ef8-b712-6d0598cf296f) - ) - (bus (pts (xy 44.45 181.61) (xy 158.75 181.61)) - (stroke (width 0) (type default)) - (uuid 16fa1a5e-4658-4fcd-81a5-53aaf335c204) - ) - - (wire (pts (xy 245.11 91.44) (xy 254 91.44)) - (stroke (width 0) (type default)) - (uuid 16fce822-bb69-4f87-bd62-04ca68ea3280) - ) - (bus (pts (xy 96.52 104.14) (xy 96.52 106.68)) - (stroke (width 0) (type default)) - (uuid 17f0c2c5-636f-4108-be96-0030539afbb2) - ) - - (wire (pts (xy 161.29 149.86) (xy 170.18 149.86)) - (stroke (width 0) (type default)) - (uuid 191ecd9a-d003-4e4f-9387-e9a8fb712e52) - ) - (wire (pts (xy 109.22 152.4) (xy 115.57 152.4)) - (stroke (width 0) (type default)) - (uuid 1980478a-e2c6-4fc5-9bd2-2057f9d10871) - ) - (bus (pts (xy 149.86 93.98) (xy 149.86 91.44)) - (stroke (width 0) (type default)) - (uuid 19884772-7760-4dfe-965b-4fa71e2781d7) - ) - (bus (pts (xy 106.68 142.24) (xy 106.68 144.78)) - (stroke (width 0) (type default)) - (uuid 1a0beb4b-f7ff-4d60-aaa7-bfffd029afe5) - ) - (bus (pts (xy 209.55 144.78) (xy 209.55 147.32)) - (stroke (width 0) (type default)) - (uuid 1ab20470-ea80-48e5-baed-b61c685aa102) - ) - (bus (pts (xy 96.52 88.9) (xy 96.52 91.44)) - (stroke (width 0) (type default)) - (uuid 1c7e6179-f2b0-4978-a207-aa9920cf4e8d) - ) - (bus (pts (xy 256.54 140.97) (xy 256.54 143.51)) - (stroke (width 0) (type default)) - (uuid 1de41d22-137c-4bff-aadc-bd254d700a70) - ) - (bus (pts (xy 209.55 111.76) (xy 209.55 114.3)) - (stroke (width 0) (type default)) - (uuid 1eb9d862-f3db-4184-a031-5d36a446bb42) - ) - - (wire (pts (xy 88.9 116.84) (xy 93.98 116.84)) - (stroke (width 0) (type default)) - (uuid 1fc48c23-90b6-431f-b111-d05da25bde79) - ) - (bus (pts (xy 106.68 96.52) (xy 106.68 99.06)) - (stroke (width 0) (type default)) - (uuid 1fec8e5b-77db-4948-9165-0cb5880cc6bc) - ) - - (wire (pts (xy 195.58 86.36) (xy 207.01 86.36)) - (stroke (width 0) (type default)) - (uuid 20393a76-28bb-4ffa-8bbf-177d46fad023) - ) - (wire (pts (xy 161.29 147.32) (xy 170.18 147.32)) - (stroke (width 0) (type default)) - (uuid 215c1aa0-661a-416f-9f9d-3f9015c19bf7) - ) - (bus (pts (xy 158.75 88.9) (xy 158.75 91.44)) - (stroke (width 0) (type default)) - (uuid 2230caa6-e912-4730-bdc8-f733f28743f1) - ) - (bus (pts (xy 149.86 68.58) (xy 209.55 68.58)) - (stroke (width 0) (type default)) - (uuid 22495606-9b5f-41e1-9ae7-16cf2e0199e6) - ) - (bus (pts (xy 256.54 93.98) (xy 256.54 96.52)) - (stroke (width 0) (type default)) - (uuid 22e0859c-64a9-4472-9734-7517e8398ae7) - ) - (bus (pts (xy 302.26 99.06) (xy 302.26 101.6)) - (stroke (width 0) (type default)) - (uuid 22f774e8-07a4-4203-b5c9-663207ab8ee6) - ) - - (wire (pts (xy 195.58 147.32) (xy 207.01 147.32)) - (stroke (width 0) (type default)) - (uuid 280370b2-01a2-477e-8ee6-1f0dacae84c9) - ) - (bus (pts (xy 106.68 137.16) (xy 106.68 139.7)) - (stroke (width 0) (type default)) - (uuid 28ecaa91-3bce-42d3-b453-91f21c7d3a00) - ) - (bus (pts (xy 106.68 147.32) (xy 106.68 149.86)) - (stroke (width 0) (type default)) - (uuid 291475b8-d043-4fbe-8e76-726bcb77c7d1) - ) - - (wire (pts (xy 245.11 93.98) (xy 254 93.98)) - (stroke (width 0) (type default)) - (uuid 29ffc6da-488f-411e-9eb8-7ae108dab7c5) - ) - (bus (pts (xy 158.75 83.82) (xy 158.75 86.36)) - (stroke (width 0) (type default)) - (uuid 2a433458-9f6f-4d89-95cd-6e4bdb5d4a1d) - ) - - (wire (pts (xy 293.37 156.21) (xy 303.53 156.21)) - (stroke (width 0) (type default)) - (uuid 2a4a4e28-c1c1-47bf-8b2c-0fa0bff99ca7) - ) - (bus (pts (xy 158.75 144.78) (xy 158.75 147.32)) - (stroke (width 0) (type default)) - (uuid 2ace5b5e-d3be-4d8c-a197-7146774e9c1c) - ) - - (wire (pts (xy 212.09 119.38) (xy 219.71 119.38)) - (stroke (width 0) (type default)) - (uuid 2b364dee-19c4-4654-9439-3c65c62bdac2) - ) - (wire (pts (xy 323.85 140.97) (xy 336.55 140.97)) - (stroke (width 0) (type default)) - (uuid 2b43d341-510e-4281-b68f-fa51dca93807) - ) - (bus (pts (xy 96.52 93.98) (xy 96.52 96.52)) - (stroke (width 0) (type default)) - (uuid 2c0e883c-1309-42a7-b8e1-5fada22655bd) - ) - - (wire (pts (xy 88.9 93.98) (xy 93.98 93.98)) - (stroke (width 0) (type default)) - (uuid 2c267c97-ef57-42da-b557-95e1c642bcfa) - ) - (wire (pts (xy 195.58 88.9) (xy 207.01 88.9)) - (stroke (width 0) (type default)) - (uuid 2e9c856c-85bc-46a9-8796-f8fda667097f) - ) - (wire (pts (xy 88.9 83.82) (xy 93.98 83.82)) - (stroke (width 0) (type default)) - (uuid 2eef17f1-85c0-462d-96cc-cc7ea2709917) - ) - (wire (pts (xy 109.22 91.44) (xy 115.57 91.44)) - (stroke (width 0) (type default)) - (uuid 2fe98efd-f3f1-46c8-a303-6218abc4ff84) - ) - (wire (pts (xy 109.22 147.32) (xy 115.57 147.32)) - (stroke (width 0) (type default)) - (uuid 30639ab4-c7de-4944-abea-cc460c3809bb) - ) - (wire (pts (xy 140.97 139.7) (xy 147.32 139.7)) - (stroke (width 0) (type default)) - (uuid 312407b3-50d2-4580-bbc6-0ad1049b1582) - ) - (wire (pts (xy 109.22 86.36) (xy 115.57 86.36)) - (stroke (width 0) (type default)) - (uuid 3140a57c-631d-49cd-a1ea-3646bcfe9503) - ) - (bus (pts (xy 209.55 114.3) (xy 209.55 116.84)) - (stroke (width 0) (type default)) - (uuid 3167a251-19e8-4401-9740-f72f390c4482) - ) - (bus (pts (xy 96.52 116.84) (xy 96.52 119.38)) - (stroke (width 0) (type default)) - (uuid 32ab500a-fb25-4d16-86ef-abbcd110d7e2) - ) - - (wire (pts (xy 212.09 99.06) (xy 219.71 99.06)) - (stroke (width 0) (type default)) - (uuid 32cb9765-fd78-494b-8e60-27c88439378a) - ) - (bus (pts (xy 46.99 86.36) (xy 46.99 88.9)) - (stroke (width 0) (type default)) - (uuid 32d417be-aaa1-4638-9ba3-8507ec618537) - ) - - (wire (pts (xy 161.29 88.9) (xy 170.18 88.9)) - (stroke (width 0) (type default)) - (uuid 341b84b4-432d-4621-9041-b85b0744d7bd) - ) - (bus (pts (xy 256.54 143.51) (xy 256.54 146.05)) - (stroke (width 0) (type default)) - (uuid 3442e62e-6b54-4263-9304-36c321b6d952) - ) - - (wire (pts (xy 293.37 91.44) (xy 299.72 91.44)) - (stroke (width 0) (type default)) - (uuid 3483f26b-6ef6-4e6c-bba7-a23b83d55400) - ) - (wire (pts (xy 259.08 143.51) (xy 267.97 143.51)) - (stroke (width 0) (type default)) - (uuid 3566cac8-a259-4584-b6bb-98286071b860) - ) - (wire (pts (xy 245.11 86.36) (xy 254 86.36)) - (stroke (width 0) (type default)) - (uuid 385a887b-c20d-4adf-8402-cd9a3cf12a76) - ) - (wire (pts (xy 212.09 88.9) (xy 219.71 88.9)) - (stroke (width 0) (type default)) - (uuid 3a38e87a-a271-4c73-bc14-9ae223dc7b4c) - ) - (bus (pts (xy 46.99 63.5) (xy 302.26 63.5)) - (stroke (width 0) (type default)) - (uuid 3a7a0e61-6407-4cb0-96bf-fa48956dc0a4) - ) - (bus (pts (xy 149.86 154.94) (xy 149.86 157.48)) - (stroke (width 0) (type default)) - (uuid 3af24121-066a-4473-ad64-17ca35d97de7) - ) - - (wire (pts (xy 88.9 114.3) (xy 93.98 114.3)) - (stroke (width 0) (type default)) - (uuid 3c3a1af2-92f9-4b30-8282-9994da2ce047) - ) - (wire (pts (xy 109.22 142.24) (xy 115.57 142.24)) - (stroke (width 0) (type default)) - (uuid 3cd7f8fb-f2ca-4595-a5de-c6111c5b2f4c) - ) - (bus (pts (xy 256.54 86.36) (xy 256.54 88.9)) - (stroke (width 0) (type default)) - (uuid 3d9a551a-98e7-4d44-8682-c9571d646f1c) - ) - - (wire (pts (xy 293.37 88.9) (xy 299.72 88.9)) - (stroke (width 0) (type default)) - (uuid 3e757593-e0f9-4f30-b4b8-daa69a8730a9) - ) - (bus (pts (xy 256.54 151.13) (xy 256.54 153.67)) - (stroke (width 0) (type default)) - (uuid 3f3db97f-cd5f-4dc4-9d98-0b9974404349) - ) - - (wire (pts (xy 195.58 142.24) (xy 207.01 142.24)) - (stroke (width 0) (type default)) - (uuid 4091b61e-19b9-4aee-a5fb-02bdf1f430ca) - ) - (wire (pts (xy 88.9 91.44) (xy 93.98 91.44)) - (stroke (width 0) (type default)) - (uuid 40bddd6a-b3a8-4fc5-8868-077566c00efc) - ) - (bus (pts (xy 106.68 86.36) (xy 106.68 88.9)) - (stroke (width 0) (type default)) - (uuid 41273b29-d6cf-4bda-9922-1c5c7bcb135c) - ) - - (wire (pts (xy 195.58 91.44) (xy 207.01 91.44)) - (stroke (width 0) (type default)) - (uuid 417838c2-271a-40d9-b6f4-855ba3849eec) - ) - (bus (pts (xy 96.52 99.06) (xy 96.52 101.6)) - (stroke (width 0) (type default)) - (uuid 426a9da7-37f3-4d0b-8f42-9551b9345faf) - ) - (bus (pts (xy 44.45 109.22) (xy 44.45 181.61)) - (stroke (width 0) (type default)) - (uuid 433cc7e1-6d7e-4dd5-a418-edfa48c47aa1) - ) - - (wire (pts (xy 109.22 154.94) (xy 115.57 154.94)) - (stroke (width 0) (type default)) - (uuid 43fcfc91-8e2c-4121-b289-47ac50de851a) - ) - (wire (pts (xy 259.08 151.13) (xy 267.97 151.13)) - (stroke (width 0) (type default)) - (uuid 44749359-41f1-40b5-bd9f-28065bce04c4) - ) - (wire (pts (xy 140.97 144.78) (xy 147.32 144.78)) - (stroke (width 0) (type default)) - (uuid 457b9a43-1406-443f-9743-3d2311b61eb9) - ) - (bus (pts (xy 158.75 139.7) (xy 158.75 142.24)) - (stroke (width 0) (type default)) - (uuid 486937bf-d42b-498d-9f38-00fb9d48845a) - ) - - (wire (pts (xy 140.97 149.86) (xy 147.32 149.86)) - (stroke (width 0) (type default)) - (uuid 48c3be67-89bd-4be7-bb61-b838c813d88d) - ) - (bus (pts (xy 96.52 114.3) (xy 96.52 116.84)) - (stroke (width 0) (type default)) - (uuid 48c3dc41-b8cd-40c5-b7d7-c1be86d795e3) - ) - (bus (pts (xy 96.52 96.52) (xy 96.52 99.06)) - (stroke (width 0) (type default)) - (uuid 4a6d3111-ac07-4555-b39a-9a3e4fb78d0c) - ) - - (wire (pts (xy 323.85 158.75) (xy 336.55 158.75)) - (stroke (width 0) (type default)) - (uuid 4adec961-6836-430a-82f7-d1efadf8b65b) - ) - (wire (pts (xy 293.37 101.6) (xy 299.72 101.6)) - (stroke (width 0) (type default)) - (uuid 4b116b6e-2c6f-415d-bc72-dd1929dbcca7) - ) - (bus (pts (xy 158.75 86.36) (xy 158.75 88.9)) - (stroke (width 0) (type default)) - (uuid 4c2209f6-8aac-43c4-bcd0-33933c4f62b2) - ) - - (wire (pts (xy 161.29 93.98) (xy 170.18 93.98)) - (stroke (width 0) (type default)) - (uuid 4c725c35-8bf6-43f9-8716-a1ba062b4ac7) - ) - (wire (pts (xy 109.22 101.6) (xy 115.57 101.6)) - (stroke (width 0) (type default)) - (uuid 4d8d46e4-9fb3-45c4-822f-acd5ac07feed) - ) - (bus (pts (xy 256.54 96.52) (xy 256.54 99.06)) - (stroke (width 0) (type default)) - (uuid 4da22131-6ff6-40f5-8b64-8fd0d2b73540) - ) - - (wire (pts (xy 161.29 96.52) (xy 170.18 96.52)) - (stroke (width 0) (type default)) - (uuid 4e4ba875-2c43-465c-bd5d-8ee6256baa11) - ) - (wire (pts (xy 259.08 156.21) (xy 267.97 156.21)) - (stroke (width 0) (type default)) - (uuid 4e62541f-f0f2-4218-8192-3519ca14080b) - ) - (wire (pts (xy 212.09 96.52) (xy 219.71 96.52)) - (stroke (width 0) (type default)) - (uuid 4eed9e55-ce3c-4c77-af4f-764d53caca28) - ) - (wire (pts (xy 323.85 143.51) (xy 336.55 143.51)) - (stroke (width 0) (type default)) - (uuid 4f6c6b9c-0881-4ec9-af3f-01e77e84163c) - ) - (bus (pts (xy 96.52 106.68) (xy 96.52 109.22)) - (stroke (width 0) (type default)) - (uuid 4fb088d7-3c3d-48c3-a4eb-a06a0c91ccaa) - ) - - (wire (pts (xy 259.08 158.75) (xy 267.97 158.75)) - (stroke (width 0) (type default)) - (uuid 50b615aa-f835-4eb8-8271-9f3af9b630fd) - ) - (bus (pts (xy 158.75 81.28) (xy 158.75 83.82)) - (stroke (width 0) (type default)) - (uuid 52537fe1-2957-4b5d-a24d-1f287be7f675) - ) - - (wire (pts (xy 245.11 101.6) (xy 254 101.6)) - (stroke (width 0) (type default)) - (uuid 53c82c6e-a0a4-4df4-ad90-bc60aea5fc96) - ) - (wire (pts (xy 259.08 86.36) (xy 267.97 86.36)) - (stroke (width 0) (type default)) - (uuid 5474c79e-076b-44ea-b5ec-546eddb1510a) - ) - (wire (pts (xy 195.58 154.94) (xy 207.01 154.94)) - (stroke (width 0) (type default)) - (uuid 5583ec05-0d8b-4bf4-8d23-d8375b008f65) - ) - (wire (pts (xy 293.37 83.82) (xy 299.72 83.82)) - (stroke (width 0) (type default)) - (uuid 5602327c-485c-4bb6-a388-e975a438d314) - ) - (bus (pts (xy 256.54 99.06) (xy 256.54 101.6)) - (stroke (width 0) (type default)) - (uuid 58976645-b898-4cc3-8618-921618a93225) - ) - - (wire (pts (xy 140.97 147.32) (xy 147.32 147.32)) - (stroke (width 0) (type default)) - (uuid 598de80a-4afa-47b3-8043-3d3764678af3) - ) - (wire (pts (xy 293.37 151.13) (xy 303.53 151.13)) - (stroke (width 0) (type default)) - (uuid 5a064c30-e8c7-4dae-bb4e-93d899f735e8) - ) - (wire (pts (xy 245.11 106.68) (xy 245.11 111.76)) - (stroke (width 0) (type default)) - (uuid 5bc783b0-d0db-42ef-90b6-81dcadbe4df7) - ) - (wire (pts (xy 245.11 83.82) (xy 254 83.82)) - (stroke (width 0) (type default)) - (uuid 5c92e0ee-02d2-4181-a839-c6ef180c0200) - ) - (bus (pts (xy 209.55 142.24) (xy 209.55 144.78)) - (stroke (width 0) (type default)) - (uuid 5cc7daa2-cbb7-4516-9144-bf462182799d) - ) - (bus (pts (xy 149.86 91.44) (xy 149.86 88.9)) - (stroke (width 0) (type default)) - (uuid 5d7511b6-67f3-4db9-a08f-3a0f05d19902) - ) - - (wire (pts (xy 212.09 83.82) (xy 219.71 83.82)) - (stroke (width 0) (type default)) - (uuid 5dc28a35-1b1c-4f8c-b0c7-5773c80b53cb) - ) - (wire (pts (xy 88.9 137.16) (xy 95.25 137.16)) - (stroke (width 0) (type default)) - (uuid 5e0e6933-7b2b-4e6d-bf01-37a0a7be367a) - ) - (wire (pts (xy 293.37 158.75) (xy 303.53 158.75)) - (stroke (width 0) (type default)) - (uuid 5e70d951-58b7-416c-8cef-a132cdac7840) - ) - (bus (pts (xy 96.52 86.36) (xy 96.52 88.9)) - (stroke (width 0) (type default)) - (uuid 5f7a7f1b-f203-4495-8420-c66ba1b4eed6) - ) - - (wire (pts (xy 195.58 152.4) (xy 207.01 152.4)) - (stroke (width 0) (type default)) - (uuid 5f84b0fd-e083-4934-b5c9-70cccba885f6) - ) - (wire (pts (xy 370.84 138.43) (xy 361.95 138.43)) - (stroke (width 0) (type default)) - (uuid 60873d34-6593-4d84-b4e2-f82d400f76ce) - ) - (wire (pts (xy 161.29 144.78) (xy 170.18 144.78)) - (stroke (width 0) (type default)) - (uuid 615f9c19-59e4-41ca-af55-f143d34c24b4) - ) - (wire (pts (xy 212.09 114.3) (xy 219.71 114.3)) - (stroke (width 0) (type default)) - (uuid 639e6097-8bda-4965-ad58-b24d77fa3759) - ) - (bus (pts (xy 149.86 144.78) (xy 149.86 147.32)) - (stroke (width 0) (type default)) - (uuid 654eaca1-454d-44df-88ed-f44a2a150343) - ) - (bus (pts (xy 149.86 104.14) (xy 149.86 101.6)) - (stroke (width 0) (type default)) - (uuid 65d8fda0-3c57-4521-8aa4-6230eb199691) - ) - (bus (pts (xy 96.52 101.6) (xy 96.52 104.14)) - (stroke (width 0) (type default)) - (uuid 666bec85-be37-4c06-a294-c6f831b99d33) - ) - - (wire (pts (xy 140.97 154.94) (xy 147.32 154.94)) - (stroke (width 0) (type default)) - (uuid 66b34516-9f20-4ee8-a4bf-5bf8400cfe99) - ) - (bus (pts (xy 149.86 142.24) (xy 149.86 144.78)) - (stroke (width 0) (type default)) - (uuid 683b93de-c294-4ea1-b999-8c7288c30efd) - ) - - (wire (pts (xy 49.53 86.36) (xy 58.42 86.36)) - (stroke (width 0) (type default)) - (uuid 685af191-ca9a-4273-b4d5-4a9708957cde) - ) - (bus (pts (xy 46.99 96.52) (xy 46.99 99.06)) - (stroke (width 0) (type default)) - (uuid 685e1907-d304-4510-9cec-8fa139ad5aa2) - ) - (bus (pts (xy 256.54 148.59) (xy 256.54 151.13)) - (stroke (width 0) (type default)) - (uuid 6a677429-2dcc-44fc-ab57-1ec5ffb165b9) - ) - - (wire (pts (xy 49.53 83.82) (xy 58.42 83.82)) - (stroke (width 0) (type default)) - (uuid 6a6fc907-6b23-4885-a7e7-653f94089de1) - ) - (wire (pts (xy 303.53 184.15) (xy 303.53 186.69)) - (stroke (width 0) (type default)) - (uuid 6cfa7495-f2ee-44bd-b245-e2f8945325a8) - ) - (wire (pts (xy 140.97 96.52) (xy 147.32 96.52)) - (stroke (width 0) (type default)) - (uuid 6d3ecc90-e87d-4d39-beab-ddf11d5ce4a4) - ) - (wire (pts (xy 323.85 156.21) (xy 336.55 156.21)) - (stroke (width 0) (type default)) - (uuid 6f6e6e5b-2b20-47c8-bcdf-709fb65c1aa1) - ) - (wire (pts (xy 161.29 142.24) (xy 170.18 142.24)) - (stroke (width 0) (type default)) - (uuid 7148b602-6a8b-49c9-a0e2-ccb1ebabcf52) - ) - (bus (pts (xy 149.86 88.9) (xy 149.86 86.36)) - (stroke (width 0) (type default)) - (uuid 7302e4b0-5c9c-4393-abd6-4c2e23836864) - ) - (bus (pts (xy 96.52 109.22) (xy 96.52 111.76)) - (stroke (width 0) (type default)) - (uuid 73447296-e1ac-4361-96da-2814acdbba77) - ) - (bus (pts (xy 302.26 101.6) (xy 302.26 104.14)) - (stroke (width 0) (type default)) - (uuid 73e43a2e-e52f-432a-9a4f-fc43c030e818) - ) - - (wire (pts (xy 88.9 99.06) (xy 93.98 99.06)) - (stroke (width 0) (type default)) - (uuid 74ff19d7-b5d7-4526-a706-5287ffffaecb) - ) - (bus (pts (xy 209.55 99.06) (xy 209.55 101.6)) - (stroke (width 0) (type default)) - (uuid 7621701d-533e-4dda-af72-5770281d8e4e) - ) - (bus (pts (xy 106.68 83.82) (xy 106.68 86.36)) - (stroke (width 0) (type default)) - (uuid 767a5599-2dae-46c2-9b6c-db2497bc0b64) - ) - - (wire (pts (xy 259.08 101.6) (xy 267.97 101.6)) - (stroke (width 0) (type default)) - (uuid 7764cfbd-6f78-4dd3-a75c-a525caa25df4) - ) - (wire (pts (xy 88.9 109.22) (xy 93.98 109.22)) - (stroke (width 0) (type default)) - (uuid 79e5ccdd-f61a-4df2-a25e-815ea832492f) - ) - (bus (pts (xy 209.55 154.94) (xy 209.55 157.48)) - (stroke (width 0) (type default)) - (uuid 7bf5988a-e561-4230-8db2-4ec5216f01fd) - ) - (bus (pts (xy 209.55 104.14) (xy 209.55 106.68)) - (stroke (width 0) (type default)) - (uuid 7ef6461c-9bbc-44d6-8531-93c83416a68f) - ) - (bus (pts (xy 149.86 101.6) (xy 149.86 99.06)) - (stroke (width 0) (type default)) - (uuid 7fa6f678-f377-4e30-a097-d92b003289e7) - ) - - (wire (pts (xy 88.9 101.6) (xy 93.98 101.6)) - (stroke (width 0) (type default)) - (uuid 833fa1b3-e727-4a8d-900e-bc07620a4b12) - ) - (wire (pts (xy 49.53 91.44) (xy 58.42 91.44)) - (stroke (width 0) (type default)) - (uuid 879ec06c-707b-4389-86e6-690e16679610) - ) - (wire (pts (xy 140.97 91.44) (xy 147.32 91.44)) - (stroke (width 0) (type default)) - (uuid 887273f2-7970-4da9-9228-60fd7757c087) - ) - (wire (pts (xy 109.22 139.7) (xy 115.57 139.7)) - (stroke (width 0) (type default)) - (uuid 8d76f4bd-70a8-4a56-8355-b9f1ac00571f) - ) - (wire (pts (xy 245.11 99.06) (xy 254 99.06)) - (stroke (width 0) (type default)) - (uuid 8d805478-8a53-4a82-b49f-a53de3a4b4e8) - ) - (wire (pts (xy 66.04 195.58) (xy 66.04 198.12)) - (stroke (width 0) (type default)) - (uuid 8d8e0678-df68-480f-8cad-40805919b015) - ) - (bus (pts (xy 149.86 104.14) (xy 149.86 142.24)) - (stroke (width 0) (type default)) - (uuid 8e759122-3f77-432a-8bae-a52a49589688) - ) - - (wire (pts (xy 46.99 111.76) (xy 58.42 111.76)) - (stroke (width 0) (type default)) - (uuid 8f4c1c77-972d-469e-9231-aeea352a7e6c) - ) - (wire (pts (xy 109.22 149.86) (xy 115.57 149.86)) - (stroke (width 0) (type default)) - (uuid 93df25fb-e384-461f-9b49-4894f0938f8d) - ) - (bus (pts (xy 46.99 88.9) (xy 46.99 91.44)) - (stroke (width 0) (type default)) - (uuid 96cf5d22-5a86-494e-b02e-82ad05e5cbeb) - ) - (bus (pts (xy 209.55 86.36) (xy 209.55 88.9)) - (stroke (width 0) (type default)) - (uuid 9832adfa-89be-4eb2-9126-2c1b7c358140) - ) - (bus (pts (xy 158.75 93.98) (xy 158.75 96.52)) - (stroke (width 0) (type default)) - (uuid 9838ea1e-ba44-4774-8bde-43b59f387ee6) - ) - (bus (pts (xy 256.54 146.05) (xy 256.54 148.59)) - (stroke (width 0) (type default)) - (uuid 987af9d9-3f5d-4269-9b8f-f511ed425ddd) - ) - (bus (pts (xy 158.75 137.16) (xy 158.75 139.7)) - (stroke (width 0) (type default)) - (uuid 9aa571d1-bb45-48d5-be7d-f5f2e0ea002c) - ) - (bus (pts (xy 46.99 81.28) (xy 46.99 83.82)) - (stroke (width 0) (type default)) - (uuid 9ad8c8aa-7618-4419-98c5-a66020e97203) - ) - (bus (pts (xy 256.54 153.67) (xy 256.54 156.21)) - (stroke (width 0) (type default)) - (uuid 9b004c2b-a059-4150-9cb0-3dd59c7734f9) - ) - - (wire (pts (xy 140.97 152.4) (xy 147.32 152.4)) - (stroke (width 0) (type default)) - (uuid 9c1c9d57-2066-4136-ab85-e2cba615948e) - ) - (wire (pts (xy 49.53 101.6) (xy 58.42 101.6)) - (stroke (width 0) (type default)) - (uuid 9fd8a16c-3abb-4e36-a895-e10defbc3bfb) - ) - (wire (pts (xy 259.08 146.05) (xy 267.97 146.05)) - (stroke (width 0) (type default)) - (uuid a0f112d7-bd3a-4bb3-8ebc-08608309c331) - ) - (bus (pts (xy 209.55 106.68) (xy 209.55 109.22)) - (stroke (width 0) (type default)) - (uuid a10a188a-51de-4f57-a3db-6d3858388eca) - ) - (bus (pts (xy 96.52 111.76) (xy 96.52 114.3)) - (stroke (width 0) (type default)) - (uuid a339d16c-ca59-4c41-84b7-60b79c2a6911) - ) - - (wire (pts (xy 66.04 203.2) (xy 66.04 207.01)) - (stroke (width 0) (type default)) - (uuid a3a1be3c-b2da-4a4e-abee-840a52c68fd3) - ) - (bus (pts (xy 158.75 96.52) (xy 158.75 99.06)) - (stroke (width 0) (type default)) - (uuid a3b51e51-e555-460b-95ae-418f606649c8) - ) - (bus (pts (xy 256.54 138.43) (xy 256.54 140.97)) - (stroke (width 0) (type default)) - (uuid a3d9f4d2-07a7-4590-8232-b4e337c742ea) - ) - (bus (pts (xy 209.55 91.44) (xy 209.55 93.98)) - (stroke (width 0) (type default)) - (uuid a4bfae1f-0247-4d9b-9fea-9a0ecc2c4281) - ) - - (wire (pts (xy 109.22 96.52) (xy 115.57 96.52)) - (stroke (width 0) (type default)) - (uuid a54d58db-4cf2-4997-9612-20ec3565ae44) - ) - (wire (pts (xy 195.58 101.6) (xy 207.01 101.6)) - (stroke (width 0) (type default)) - (uuid a5f7524c-e009-4759-8722-e6ad7267f75a) - ) - (wire (pts (xy 259.08 93.98) (xy 267.97 93.98)) - (stroke (width 0) (type default)) - (uuid a67df5c3-f5af-4234-9269-3e6cd820fbb6) - ) - (wire (pts (xy 212.09 109.22) (xy 219.71 109.22)) - (stroke (width 0) (type default)) - (uuid a7a583e0-9e5c-4e89-800b-5d99d2c93b55) - ) - (wire (pts (xy 109.22 83.82) (xy 115.57 83.82)) - (stroke (width 0) (type default)) - (uuid a821a867-88e0-4c8b-9241-77fdd3f92714) - ) - (bus (pts (xy 149.86 86.36) (xy 149.86 68.58)) - (stroke (width 0) (type default)) - (uuid a9ee63a4-b741-42e8-baf3-6c9b1025bf31) - ) - - (wire (pts (xy 245.11 88.9) (xy 254 88.9)) - (stroke (width 0) (type default)) - (uuid aa0e3879-b1ee-477d-917f-a020213d595e) - ) - (bus (pts (xy 46.99 83.82) (xy 46.99 86.36)) - (stroke (width 0) (type default)) - (uuid aa221b86-7525-44bb-a463-c7a1ebec8a3f) - ) - (bus (pts (xy 209.55 93.98) (xy 209.55 96.52)) - (stroke (width 0) (type default)) - (uuid aaed2dd4-b9f9-4a3e-8e1c-194dbbe49bf6) - ) - (bus (pts (xy 96.52 119.38) (xy 106.68 119.38)) - (stroke (width 0) (type default)) - (uuid ab68eec1-60cd-46e8-ab16-b79b5ace5370) - ) - (bus (pts (xy 106.68 93.98) (xy 106.68 96.52)) - (stroke (width 0) (type default)) - (uuid aca0cd79-af22-476b-b35a-a7d786ad01a9) - ) - - (wire (pts (xy 293.37 96.52) (xy 299.72 96.52)) - (stroke (width 0) (type default)) - (uuid acdc04e6-86c2-46b4-a18a-97d6994d7a61) - ) - (wire (pts (xy 259.08 140.97) (xy 267.97 140.97)) - (stroke (width 0) (type default)) - (uuid ad0ebe15-6338-4a27-b4ac-df50eabdeffc) - ) - (bus (pts (xy 256.54 104.14) (xy 256.54 138.43)) - (stroke (width 0) (type default)) - (uuid ade01711-f564-49ba-b580-98155f278a31) - ) - - (wire (pts (xy 88.9 86.36) (xy 93.98 86.36)) - (stroke (width 0) (type default)) - (uuid aea1e76b-887c-4f89-b144-cbdfc412467c) - ) - (wire (pts (xy 49.53 88.9) (xy 58.42 88.9)) - (stroke (width 0) (type default)) - (uuid af59309b-447c-41eb-adf2-d63fc43608b3) - ) - (bus (pts (xy 256.54 83.82) (xy 256.54 86.36)) - (stroke (width 0) (type default)) - (uuid afc95f97-e5f7-4402-a79f-c29aa96859d4) - ) - - (wire (pts (xy 140.97 142.24) (xy 147.32 142.24)) - (stroke (width 0) (type default)) - (uuid affd4788-b867-4e51-a03c-66b35cab8df7) - ) - (wire (pts (xy 140.97 88.9) (xy 147.32 88.9)) - (stroke (width 0) (type default)) - (uuid b03fe73b-d022-455a-b714-a3cd6284e495) - ) - (bus (pts (xy 46.99 63.5) (xy 46.99 81.28)) - (stroke (width 0) (type default)) - (uuid b0bf60e6-d245-4614-800e-f021c0c2206e) - ) - (bus (pts (xy 46.99 93.98) (xy 46.99 96.52)) - (stroke (width 0) (type default)) - (uuid b19d6e51-7e3d-4d37-8ec7-77cd131b7f70) - ) - - (wire (pts (xy 161.29 99.06) (xy 170.18 99.06)) - (stroke (width 0) (type default)) - (uuid b235486d-caef-4a57-a66c-7629d7ff60ba) - ) - (wire (pts (xy 109.22 99.06) (xy 115.57 99.06)) - (stroke (width 0) (type default)) - (uuid b293097e-1335-49ea-82ee-ee45c7b0bf8f) - ) - (wire (pts (xy 259.08 88.9) (xy 267.97 88.9)) - (stroke (width 0) (type default)) - (uuid b2d07eb9-93c8-4d20-b963-a39e5d1c8924) - ) - (wire (pts (xy 259.08 83.82) (xy 267.97 83.82)) - (stroke (width 0) (type default)) - (uuid b37224e6-a039-441d-9e46-fdd832c5fb1a) - ) - (wire (pts (xy 323.85 148.59) (xy 336.55 148.59)) - (stroke (width 0) (type default)) - (uuid b44d5ac9-3946-4b5f-b584-a164338f319d) - ) - (wire (pts (xy 161.29 86.36) (xy 170.18 86.36)) - (stroke (width 0) (type default)) - (uuid b4a90f6c-e8a1-411f-b45d-90a4b7011de7) - ) - (wire (pts (xy 259.08 148.59) (xy 267.97 148.59)) - (stroke (width 0) (type default)) - (uuid b5673181-7db9-4220-843e-95bacc77f4ad) - ) - (wire (pts (xy 212.09 91.44) (xy 219.71 91.44)) - (stroke (width 0) (type default)) - (uuid b6a10520-cec6-43e1-9821-6c8780d970e9) - ) - (wire (pts (xy 293.37 143.51) (xy 303.53 143.51)) - (stroke (width 0) (type default)) - (uuid b723daf8-5b64-4536-8fdf-c3d843a6e2e1) - ) - (bus (pts (xy 149.86 149.86) (xy 149.86 152.4)) - (stroke (width 0) (type default)) - (uuid b8a0f96b-4eb9-46da-b369-b0209873fab4) - ) - - (wire (pts (xy 161.29 91.44) (xy 170.18 91.44)) - (stroke (width 0) (type default)) - (uuid b9c08e6f-2c34-4473-9734-ada6482704e0) - ) - (wire (pts (xy 109.22 144.78) (xy 115.57 144.78)) - (stroke (width 0) (type default)) - (uuid ba7af6c0-c568-438d-a041-ea189f614aeb) - ) - (bus (pts (xy 302.26 63.5) (xy 302.26 86.36)) - (stroke (width 0) (type default)) - (uuid bb062532-c096-4f31-9c1d-4266ec68806f) - ) - (bus (pts (xy 149.86 152.4) (xy 149.86 154.94)) - (stroke (width 0) (type default)) - (uuid bcea9426-c119-458e-947d-25630a589878) - ) - (bus (pts (xy 158.75 142.24) (xy 158.75 144.78)) - (stroke (width 0) (type default)) - (uuid bd41c8ad-d5a2-451e-b3ae-103a137fe1d9) - ) - (bus (pts (xy 209.55 68.58) (xy 209.55 81.28)) - (stroke (width 0) (type default)) - (uuid bf475768-c1f5-40c9-853f-a9ed1fcb0c84) - ) - - (wire (pts (xy 140.97 86.36) (xy 147.32 86.36)) - (stroke (width 0) (type default)) - (uuid c21f9c90-ad9e-4be4-99ca-e84008a27a78) - ) - (wire (pts (xy 212.09 111.76) (xy 219.71 111.76)) - (stroke (width 0) (type default)) - (uuid c45a6870-bf10-482f-8952-d4502e9fbe8b) - ) - (wire (pts (xy 140.97 101.6) (xy 147.32 101.6)) - (stroke (width 0) (type default)) - (uuid c75fe095-8233-4d38-b079-0d9619ab88ef) - ) - (bus (pts (xy 158.75 91.44) (xy 158.75 93.98)) - (stroke (width 0) (type default)) - (uuid c97bf464-354f-4393-a837-bf3901392297) - ) - - (wire (pts (xy 195.58 144.78) (xy 207.01 144.78)) - (stroke (width 0) (type default)) - (uuid c9f3a91d-3479-4cb4-9157-1aa3e11a7db5) - ) - (bus (pts (xy 209.55 152.4) (xy 209.55 154.94)) - (stroke (width 0) (type default)) - (uuid ca5de57a-9450-4ecc-b511-72f0a34ed571) - ) - (bus (pts (xy 106.68 144.78) (xy 106.68 147.32)) - (stroke (width 0) (type default)) - (uuid cd2221f8-bc2f-4161-b21d-3ef446ea184f) - ) - - (wire (pts (xy 323.85 151.13) (xy 336.55 151.13)) - (stroke (width 0) (type default)) - (uuid cf9f29e8-8522-4885-bbad-1ef5d337d552) - ) - (bus (pts (xy 106.68 91.44) (xy 106.68 93.98)) - (stroke (width 0) (type default)) - (uuid d137d540-3d00-45c3-a0bd-ce2cc2176b37) - ) - - (wire (pts (xy 259.08 96.52) (xy 267.97 96.52)) - (stroke (width 0) (type default)) - (uuid d3c5f6c8-ff71-413f-b175-a6015e3c4320) - ) - (wire (pts (xy 109.22 88.9) (xy 115.57 88.9)) - (stroke (width 0) (type default)) - (uuid d4922eaf-a49f-4ba0-8538-59eb6c2b22b4) - ) - (bus (pts (xy 158.75 99.06) (xy 158.75 137.16)) - (stroke (width 0) (type default)) - (uuid d5584a4d-d723-47b6-80c3-ad9e4b094265) - ) - (bus (pts (xy 256.54 88.9) (xy 256.54 91.44)) - (stroke (width 0) (type default)) - (uuid d641dc75-997b-4705-b1ea-c8201233df7e) - ) - - (wire (pts (xy 259.08 99.06) (xy 267.97 99.06)) - (stroke (width 0) (type default)) - (uuid d70421c9-b528-4111-817d-2cb16be78cd8) - ) - (bus (pts (xy 46.99 91.44) (xy 46.99 93.98)) - (stroke (width 0) (type default)) - (uuid d73bc2e8-1236-4a6e-bc04-81930536eca8) - ) - (bus (pts (xy 158.75 147.32) (xy 158.75 181.61)) - (stroke (width 0) (type default)) - (uuid d8b89e98-d122-434f-96ce-bcb65eb6646a) - ) - - (wire (pts (xy 88.9 104.14) (xy 93.98 104.14)) - (stroke (width 0) (type default)) - (uuid d9b4853f-2e32-4060-946c-1d9af20b3353) - ) - (wire (pts (xy 195.58 99.06) (xy 207.01 99.06)) - (stroke (width 0) (type default)) - (uuid d9cbe019-2a53-4788-b220-3ee352e86ddc) - ) - (bus (pts (xy 209.55 101.6) (xy 209.55 104.14)) - (stroke (width 0) (type default)) - (uuid dafcd06f-0f96-4d7e-8f91-6e5fa0558977) - ) - - (wire (pts (xy 323.85 146.05) (xy 336.55 146.05)) - (stroke (width 0) (type default)) - (uuid dc87f7a2-87b8-4911-80dc-0d1cd272708d) - ) - (bus (pts (xy 106.68 139.7) (xy 106.68 142.24)) - (stroke (width 0) (type default)) - (uuid dd69dd68-9577-4d70-adaa-49086189baca) - ) - (bus (pts (xy 149.86 99.06) (xy 149.86 96.52)) - (stroke (width 0) (type default)) - (uuid ddd83331-96c3-40d2-8ca2-043c57ad5ef7) - ) - (bus (pts (xy 302.26 86.36) (xy 302.26 88.9)) - (stroke (width 0) (type default)) - (uuid dede9f22-caf6-4244-b745-db6823b26a0e) - ) - (bus (pts (xy 256.54 81.28) (xy 256.54 83.82)) - (stroke (width 0) (type default)) - (uuid e04fbd86-fdff-4e73-ba75-88376460a235) - ) - - (wire (pts (xy 49.53 99.06) (xy 58.42 99.06)) - (stroke (width 0) (type default)) - (uuid e07aeb4c-261f-45a0-bdaa-97637bd28e9a) - ) - (wire (pts (xy 293.37 148.59) (xy 303.53 148.59)) - (stroke (width 0) (type default)) - (uuid e18fd9d1-e325-424b-8769-1e9bca2cf8a7) - ) - (wire (pts (xy 323.85 153.67) (xy 336.55 153.67)) - (stroke (width 0) (type default)) - (uuid e1cc64df-0d45-49a4-8372-7bb2dd99a92e) - ) - (wire (pts (xy 212.09 116.84) (xy 219.71 116.84)) - (stroke (width 0) (type default)) - (uuid e26c5781-936f-4315-8113-1bffcdce416c) - ) - (bus (pts (xy 209.55 96.52) (xy 209.55 99.06)) - (stroke (width 0) (type default)) - (uuid e30cd517-7d26-42c0-b2ff-45f022a40944) - ) - - (wire (pts (xy 293.37 99.06) (xy 299.72 99.06)) - (stroke (width 0) (type default)) - (uuid e3186c27-e3f0-4186-aa71-29c527c2f711) - ) - (wire (pts (xy 212.09 86.36) (xy 219.71 86.36)) - (stroke (width 0) (type default)) - (uuid e4a721c8-272e-4ad7-9a0b-52af90f3b099) - ) - (wire (pts (xy 212.09 101.6) (xy 219.71 101.6)) - (stroke (width 0) (type default)) - (uuid e51d228b-a3fe-4f1f-ac23-b5aad1538867) - ) - (bus (pts (xy 302.26 93.98) (xy 302.26 96.52)) - (stroke (width 0) (type default)) - (uuid e6f009b9-10f7-4733-9520-a76d8bb012bc) - ) - (bus (pts (xy 209.55 147.32) (xy 209.55 149.86)) - (stroke (width 0) (type default)) - (uuid e74fdbdf-f1c2-4459-8c10-6eb5ef5bbd41) - ) - - (wire (pts (xy 161.29 139.7) (xy 170.18 139.7)) - (stroke (width 0) (type default)) - (uuid eba2db3b-935e-4ff0-b505-55301e1ce5a4) - ) - (wire (pts (xy 140.97 93.98) (xy 147.32 93.98)) - (stroke (width 0) (type default)) - (uuid ec6d213f-9ad5-4fe0-bcea-05dd50d6c382) - ) - (wire (pts (xy 88.9 111.76) (xy 93.98 111.76)) - (stroke (width 0) (type default)) - (uuid efaa66da-1a72-4ad9-b6be-aba0fa0015c0) - ) - (wire (pts (xy 245.11 96.52) (xy 254 96.52)) - (stroke (width 0) (type default)) - (uuid f0aeaaf9-d16e-4026-b197-52e63fd25315) - ) - (wire (pts (xy 259.08 91.44) (xy 267.97 91.44)) - (stroke (width 0) (type default)) - (uuid f1071534-e754-456a-92eb-1b31892daf20) - ) - (wire (pts (xy 109.22 93.98) (xy 115.57 93.98)) - (stroke (width 0) (type default)) - (uuid f1df670d-4857-475d-bd01-d2e71d886ad4) - ) - (wire (pts (xy 49.53 93.98) (xy 58.42 93.98)) - (stroke (width 0) (type default)) - (uuid f35fbe86-7b59-4820-8b04-15175befb056) - ) - (bus (pts (xy 106.68 149.86) (xy 106.68 152.4)) - (stroke (width 0) (type default)) - (uuid f52d4393-f9a0-4246-9c24-7a6066622891) - ) - (bus (pts (xy 96.52 91.44) (xy 96.52 93.98)) - (stroke (width 0) (type default)) - (uuid f544f7a6-9d6c-4855-b5d1-34340bea8a54) - ) - (bus (pts (xy 209.55 81.28) (xy 209.55 83.82)) - (stroke (width 0) (type default)) - (uuid f5afece9-490a-4783-9823-c56958a64329) - ) - - (wire (pts (xy 293.37 140.97) (xy 303.53 140.97)) - (stroke (width 0) (type default)) - (uuid f6471bb0-b968-4437-8ed2-e06ce20f1be4) - ) - (wire (pts (xy 195.58 93.98) (xy 207.01 93.98)) - (stroke (width 0) (type default)) - (uuid f6e59416-b133-4e29-8dda-92742999bf0f) - ) - (bus (pts (xy 209.55 83.82) (xy 209.55 86.36)) - (stroke (width 0) (type default)) - (uuid f7df4ff2-26ea-4f74-8203-6d48d260efdd) - ) - (bus (pts (xy 302.26 96.52) (xy 302.26 99.06)) - (stroke (width 0) (type default)) - (uuid f82d63ef-f300-412b-ae1d-2d2a18f7d1ec) - ) - (bus (pts (xy 209.55 109.22) (xy 209.55 111.76)) - (stroke (width 0) (type default)) - (uuid f8697502-ceea-4146-8001-87175dcd1c25) - ) - - (wire (pts (xy 212.09 93.98) (xy 219.71 93.98)) - (stroke (width 0) (type default)) - (uuid f9d5fdbc-4df6-448e-a006-7ea3b0ac5e77) - ) - (wire (pts (xy 195.58 139.7) (xy 207.01 139.7)) - (stroke (width 0) (type default)) - (uuid fa32ae9b-54f3-4c00-ade3-d7576bb4ba0f) - ) - (wire (pts (xy 293.37 93.98) (xy 299.72 93.98)) - (stroke (width 0) (type default)) - (uuid fa506cc3-c9c0-47f2-9642-82b95ddeab03) - ) - (bus (pts (xy 256.54 101.6) (xy 256.54 104.14)) - (stroke (width 0) (type default)) - (uuid fb22c7c7-faf9-4289-9cf9-1a247ff7aa48) - ) - (bus (pts (xy 149.86 96.52) (xy 149.86 93.98)) - (stroke (width 0) (type default)) - (uuid fbfb7954-e1ac-4568-b726-1a47c6caeb14) - ) - - (wire (pts (xy 293.37 86.36) (xy 299.72 86.36)) - (stroke (width 0) (type default)) - (uuid fc48ce2d-c28a-44b7-817f-aa9030b26a96) - ) - (wire (pts (xy 195.58 83.82) (xy 207.01 83.82)) - (stroke (width 0) (type default)) - (uuid fd4da7e8-dc0e-45eb-be0c-6679a540eeac) - ) - (bus (pts (xy 302.26 91.44) (xy 302.26 93.98)) - (stroke (width 0) (type default)) - (uuid fdededb1-5eee-4140-868b-3807e2bc56df) - ) - - (wire (pts (xy 212.09 104.14) (xy 219.71 104.14)) - (stroke (width 0) (type default)) - (uuid fe5dca4c-bf2a-4def-8bf0-292ae3be74b3) - ) - (bus (pts (xy 106.68 81.28) (xy 106.68 83.82)) - (stroke (width 0) (type default)) - (uuid ff0a811b-d8b7-4142-8358-67b1cd4afc56) - ) - - (image (at 482.6 78.105) - (uuid 36e2bd22-27b1-470e-be30-30e836a3346a) - (data - iVBORw0KGgoAAAANSUhEUgAABSIAAAK/CAIAAADyFSRjAAAAA3NCSVQICAjb4U/gAAAgAElEQVR4 - nOydZ3gU173wZ7YX7a56W1XUhTpIYAFCMmBaDAYSMBA7JrZxicHOY9yeGCfX17kmjq/jXCdxw3Zc - wA2IgwumiI5ACCSBGuq97KpsbzO7M++H/6vzTFbSWhICSXB+H3jE7syZM2fOnD3/TrIsS2AwEw1F - Uc3Nzf7+/r6+viRJTnZ3MBh3WJZlGIZhGD6fT5LkSLOUZdnxTWCWZeFciqIoipJIJEKh8Pq6jMFM - Gi6Xi6ZpoVDI4/Guf0m3Wq0ul0smk/H5/AnpHuaWh6ZpgUBwS24n0I/FLXl3GMwkAm+Ww+FgWVYs - Fo/vFbNarUKhcExbOHipeTwebxzXw2CAYXU08KHT6fTy8pJKpTe9U5ibwa2hnuPz+QaDobe3l6Zp - D4eN72bJQSiKAqHi1hg0zLDc8g+Xz+dLJBLQSV1POzBQDofD4XDglwIzeoRC4a0qhZIkiXfjPwnI - LZPdC8w0AxYNkUg0PhkbppxOp6MoakzTD2nNSDxrMePG5XJNiGUDM+1gGIYgiGm9M4Clr7m5mabp - 8PBwqVQ67Ew2mUwCgUAikYx7gR7liT9pNh+3XR2DmRDwDMRgbhAMwzgcjvH90GAwGM9YLBaGYeRy - +Vh3rfCrZ7FYRCLR+BwSp/EuGTO50DTd09NjtVpHOgBrcG5J4LH29vbqdDqXy3XzOwCe3tffDuga - Z8yYkZCQIJPJhm5u4E6tViu4G43jEjabjabpUergf3J3hbdfmMkFZuCELOz412F84HG7VaFpemBg - wOl04keMwUwg8ELZbDa73T4Ohwj41ZPL5eMO+hOM7zTM7QxodxiG6evrEwqFw4ooBJYKbl1Ylu3v - 75dKpQqFAoVWjslyez0YjUYej+fl5TUhtnQPBjr4PCgoaNyNm0wmqVQ6Sl9HcFz3EHxI0zSPx8P+ - I5hJ5/rN2ngOYzBcBALBOExtGAzGM/Bb4+/vfz2NXM9P3m3hNH7TBIDbDTBm4n3/bQVSshD/6TRu - s9mcTucNzWkEl+7v7+fz+VwJf8ricDh4PN5PKkHhvvR6PcuyXl5eIx3f19cnEokmSr+AwYwPu90O - sxov+zcfi8UilUrxCoDBYDDTgttisQYv09tBoXCT4fP5158RBzNd4KqrwKzK/dzhcNjt9hvq8waX - 9vPz8/b2nsoyNsMwkNtJLBaP3tEI3iYejzd0AOEToVB4qybaxUwLYB6aTCar1Yp/TyeF/v5+p9M5 - 2b3A3BBusXdqrCmjMJhbklvcmg1mou7uboqiAgMDcXqJiQVnxLnFYBjGc2krghOfOVmPfuo7p1it - VqvVqlAoRCIRMbW7irmZTN8FE3oO/9psNh6PJxKJhk1nME1vcLpgMpmwazFmWtDd3e3v74/LWGIm - ncn9YbrFF2uId+/u7u7s7HQ4HMQ01BdO5Q7jHdUthslkgiwRw34LCb3g72F32Ddnrk7l4qJIBTAO - Y/tPDuAtUM5kuvf/+pmmI/D/C5OQJEEQUql0pMooE/tiTkimw1sMhUKBZWzMtABP1PEBaVMnuxe3 - FJM7nre4NRuw2Wx8Pn+axpJ5NjBiMBMCaPs0Go1EIlEqlW7zDb7t6emRyWQKhQLPxtFDURRxS1d8 - HRO3QB24ccMwjNlsFovFYrF4lKdMHeMwRVEQ1EB4dCehKIokyYkKbTAajUql8vrbuZWYOlMCg8Hc - COrr68PCwqRS6WR35BbB5XKZTKZJzOZzW2x3pFLpsB5u04Le3l6z2Xw7aEMwkwjKqq1SqYa+KZDz - zNvbWy6XT9P3aKxczxvHDkIQhMlkgoKNE9e1aQmMRl9f382pAzcFLf8sy1qt1rEmL5gid2EwGOx2 - O/w9rNp3Ymc7tDZF7n1KcZssv5hbAPz+jhUYMb1ej9wGMdcPiNmQLmdSOnBbiNlTcMs1SliW7evr - u0FiNk3Tk1L3GDNlGWmaMQyj0+kIgkDqQIZhKIrC0uOwcOUQkUg0fXV8EwvLsgMDAwaD4ebUhp1q - aS/5fH5QUNCYFFVmsxm8IX4Sl8t1Q10NRSLRaEwBIpFoQhw3oAWVSnWd7WAwmMkC/+qNFRix7Oxs - 7MUzgQiFwrCwsEncht0WTuPTFHAPc7lcDMNMbIZhaLmurk6pVAYGBt6ePpyY0eNyufr6+hQKhVQq - hXlot9vBD2ekKM1pDcuydrtdIBBMevqWKe4jChrM0US1oNWMuMElAOFCRqNRr9f7+fnJZLKpPICe - 6enpkcvlCoXiJ4+0WCw2mw3eR89HTqMopCk++TEYDGbCweveLYZgsjtwi3Ajsh9DaygibmJhWVaj - 0RAE4efnh8VsjGfAEAd/I7HqFi4uxTCMwWBQKBTXf4/XuTJM8RG22+0URclksp/UR6DV7Cb0imVZ - g8HQ1NTE5/NlMtmU2rWMqTOBgYGj1F+g99HzfAP3OYlEIpFIhj0AXACG6kFGOY0n9ndw6jw1DAaD - uTngde8WA1uzJ4YbV2RorHvE0ffkdk5HhBkrU7+M1gTCMIzNZhMKhZOeugw8gQUCwc15T4f9ORh2 - BJDR2OFwKJXKUab1clvNRlrc3MJ8ftL6OrTUHEVRBoPBy8vrOhPJcHviISbZDQ+9dbuv0Xdj9O27 - varcg2maHhgY8PLyksvlQ08kSVKv1xuNRvACGFMPx8ro7wiDwWAwmGkKFrMnBofDwTCMWCyedKnV - ZrM5nU6ZTDZZWfUwtwNc2ePmXG4keQYJVx76w40h55YmQo17OHeoX/SwnRkqg3lICfGTciN0GHK/ - 2+32wMBA5K4/sYw1b8VIoiYS7cCg6kH4RKeD4ZQkSbc1E907+mPYw4b2361v7GCpZ27fRn+n3Ja5 - 6oCfnAluh7kdA2UvoKD6sMd76IaHg1mWRWpTdL8j3Th6HbhDCgf39PQMDAyo1WqVSjWaifGTT/w6 - b4oYnc5iNHqHkS43Umc8NzvsVTzPsdFoWLhTbtL3EgT2X8VgMJjrAzuNXy/wOwQlhSe3bBj0xOFw - 0DQNAv/oTUAYzJi4mdPGw/6VHcxIjISxoQfA5wzDoBwHSH7zfFH0t5tkxd2gQ6QryDZuUa/wt8vl - cjqd3M+5L+ZIMrzL5eLz+W4CzA3a8tI0TVEUXAUJYOgG+Xw+OBi7XC4ejycQCAjO0KG+OZ1OgiDA - dZxlWUivCHcKt8Dj8WBtRHfBsqzT6YRjuA26XC673W632zUaDUmSEonE29vb29t72NsnSdJutzsc - DqFQKJFIkG6RZVmKosALADKujWllhvuiKMrhcPT394OBV6VSSSQS7gg4HA6Hw+EmpEEaMDgMDQ4E - pbMsazabJRIJDLhIJAJRiiTJYb3uoWWn02m32+HRsCwrEAhkMtnQ5Z0kSYqizGZzb2+vSCRSKpUw - JkKhkHswwzAwIAKBwE19QBCEn5+fn58fek3gsY4EzAd4vkPT2qHJ4Kb7gE/sdjucAnNDKpXCsxur - pO0ZDxoWrog+7AozmlWC2xRScAx7ALrE0BfNbW3hakmG7T8atJH6g3o+dDCH9tBDO9zVddg7GulE - bssj9XZoCx46PNITnCLKCAwGgxkJLGZPDBABOCnRqmhzD5f29vYe5YlYwMZMCxiGMZlMqJ4QgmVZ - qVQK5RBNJpPZbAZvWO72jiAIiqKMRmNPT4/JZAoJCVEqlVKplGscdjgcBoOBx+OpVCpkZkSiIJQy - grNIknQ6nUajkaZpkiRpmtZoNEqlElJPyWQyrpXSaDTabLaOjo7e3l6IXgZhz8vLKywszMvLC1qw - 2WxQcZAYlNsdDgfEFUdERAQHB9+g95Qd9PouKSmpra11OBwEQVgsFqh7IZfLWZa1Wq2+vr55eXlO - pxPuNDEx0d/f361LZrP5ypUrLpcrJSXFz8+vq6urqqpKq9V6eXlJJBKr1Wq32729vePj48PDw8G9 - nGXZ+vr6xsbGsLCw+Ph4kORhQCorK4uLi+vr66urq3k8npeXV0ZGxooVKxISEiQSidulbTbbjz/+ - WFFRERISctddd0VERKADwGmcpukrV67Y7fa0tLSgoKBRDqbT6ezv7y8uLq6tra2oqOjp6YmJiZkx - Y8bcuXPT09OhdDzDMCdOnCgtLYVi0XAiSZIREREpKSmRkZE+Pj5CodBgMFRVVbW2tvL5fJqmpVKp - WCxubGy02WzR0dFKpZKmaX9//+Tk5KG19FiWNRqNpaWlpaWlJpMJLurv75+Xl5eQkICcp0Cv0dPT - U1JScvHixerqaqlUGhERoVQqU1NT586dy73x9vb2uro6mqYTExPDw8O54r3D4SgrK3M4HDNnzvTx - 8amqqurs7ITfl2GHSK1Wx8fHy+Xyzs7O+vp6m82G3hqBQBAREREQEOCWlQ3eIJ1Od/z48Y6ODqfT - abPZfHx85syZk5iYqFQquYoSs9nscrncXiu3p28ymaRSqZeX10jODiaTyWazDf1cIpF4eXkJhUK7 - 3d7V1SUQCMRiMRIs+Xy+VCoFJYWHeQJARXSbzSaTyYbtCcMwdrsdlfZAWhiuXwPLsjabzWq1wgjY - 7XZYNEiSdEszSVGUy+USi8XDOqwhJRfEvHC/gveLW15kpIGFdqxWK0VRcrl8qIoKKk14OJfH44EW - Cd6OoS0gPQJFUaCvGVaJhjQUI13oBikfMRgMZkLAYvb1Aku8h5+rG43BYJBIJCgE8TrV/xjM1AG2 - UF1dXV9++WVdXR37nxZmhmFSUlLWrl0bHBx84MCBCxcuLFq0aMmSJSAFQXau/v7+ysrKQ4cONTU1 - 6XS66OjokJCQ9PT0/Pz8sLAwsBgXFxd/9913PB5v06ZNqamp3F2yyWTas2fPlStX1q1bt3DhQolE - UldXt3///o6ODjAeNjU1BQQEeHt7q9Xq3NzcOXPmID3XN998U1xcDLKKl5cXbOJFIpFarX7qqafm - zJkDlltuEDjLsk1NTefPn//Xv/5FEMRTTz11xx13wAZ0wt9ohmF4PF59ff2uXbuKiorcrLJIXpLJ - ZKtWreLz+ZcvXxaLxQ899ND69eu5krbVat23b98777wjEon++7//Oz8//9SpU3/961+bm5tBfQAP - QqFQzJ49+9e//vX8+fPlcrnZbH7nnXcOHjy4Zs2a7du3h4WFEQTB4/GuXr26a9eu48eP6/V61JmD - Bw+ePn16x44d8+bNQ5I2iH9lZWW7du0qKSkJCgri8/kbN25EB4AJt6mp6dVXX21paXn++efXr18/ - VFB3gx2swrBnz559+/a1trZCCdPCwkKCILKzs3/7298uW7ZMpVLZbLb333//u+++czP5+vn5JSUl - LViw4L777ktISDh37twbb7xRVVVFkiQIjXw+HyzkISEhUqmUoqi0tLQXX3wRpgQaeYIgOjo6vvrq - q71799bV1VmtVmhfLpfn5eU99thjCxYsgKlOEERpaenevXv//e9/d3R0cJ9jTEzM1q1b77vvPpTC - cO/evXv27LFarYsXL37iiSdSUlJ4PB4MZkNDw65du2w220svvRQREfHmm2+eOXNm2KKPILClp6f/ - 7ne/S0hI+OCDDw4cOGA2m9EYCoXChISEpKSkNWvWZGVloWIqNE1XV1fv379/z549zc3NcLxUKs3K - ylq5cuXq1atjY2NBBLXZbPv27evo6FiyZElWVpabnAb3ePTo0RMnTqSnp69cuTIgIID4Tz8LkiT7 - +vo+//zz6upqt7ARhmHi4uJ+/vOfx8TEFBcX79mzB4RkGAeGYeRyeUxMzMKFCxMTEz3kHYCrtLa2 - 7t+/v76+fu7cuStXrgwMDCQ4bxBBEE1NTWfPnu3o6DCZTAzDhIaG+vn5sSwbHh6elZUFKwbLsgcP - HiwuLpZIJDwer7e3Ny4uLiAgQCaT5eTkhIeHI6H67NmzbW1tBQUF4eHhwyarO3fuXEtLS1ZWVkZG - Bvcri8Vy4cKFrq4u1PPU1NTk5OShuxeQ1fft21dbW7tq1arMzEy3cjgNDQ2gvRp2brhcLpVKdeed - d6pUqpMnT4rF4jlz5ri9ehRFtbS0WK3W2tpa0ApFRkaqVCru8kvTdHNzs9VqDQ0N9ff3d9Nf9Pb2 - arXa6OjoaV1NAIPB3OKwmIkA4gwnhfb2dr1eP4kdwGBuEE6nk2GY7777Li0tbdjlKzk5+fDhwx0d - HYsXLyZJ8sknn2xvb4ez9Hp9R0fHa6+9FhcX53ZWeHj466+/3tvbC968O3bsCAgIkMvl77//PhQD - Z1kWCumVl5fn5uYSBPHKK6/o9XqWZd9+++0ZM2YM25k77rjj1KlT6E289957h92gBwcH79u3D5zY - 0Z3C31ar9aWXXgoNDYUjX3/9dYvFcoNebRilkydPzpo1C4p7C4VCsVgsFoulUilI/mKxWCAQZGRk - /OIXv4iKiiIIYtasWSdOnIDOwxBVVlbOnz+fIIikpKTvv/+eYZi///3v0dHR3LRtaBO8efPma9eu - sSzb0tJSUFBAEMQDDzxQV1fndDph5F955RW1Wi2VSuVyuUwmk0qlMpkMrHmPP/54a2srXBT673K5 - du/eHR8f7+XlJRKJnnvuOY1Ggw4Azp49m52dTRDECy+8oNVq3YZ9KHDASy+9FBISIhAIoAPQE7Ae - 33333aWlpSzL9vX1rVq1Cn0LIAuzr6/vZ599xrLsa6+9Fh4eDqOBxsGtmvrcuXNh5qC+wV3s3r07 - Li6OJEmRSATtg2mXJEkYSRgEiqIeffRRHx8f1GF0sEAgSEhI+O6776BBiqLQtJTL5a+//rrZbAbn - cHjR0tPT09PTjxw5cuHChblz53qWXiIiIg4cOFBbW7tw4cKRjtmxY0d3d7fL5YIOdHd3P/roo/7+ - /jDB4L5Arvby8nr55Zf7+vqgM21tbUuWLCEI4tlnn3V7rGgC/PKXv5TJZEuWLLlw4QIMhdv0Pn36 - NDz9oURHR//rX/9iWfbFF19EOggucrl8x44dHR0dbjOKC3z1t7/9LTo6miCI/Pz8c+fOcXsCf7/3 - 3nvcVUgkEoHjDPd4nU6XmZnJjTUQCAReXl7BwcF/+tOf9Ho98rG/6667pFIpqAa4twydNBgMBQUF - Uql0586d3NFgWbakpCQ3N1elUqlUKqVSqVKp7r///p6eHre7g//29fUlJSXxeLxnn30WPRR0wI4d - O4KDg6EdN1QqlVgsjoyMLCkpcblc+fn5BQUFLS0tqKvQTnd39xNPPLFmzRp/f//Y2Ni1a9cePXoU - 3REco9Fotm/fvnbt2q+++go52sCwsyz7xRdfbN68ubKy0sMDwmAwmMllGGs2i51wxs4kjhgYgqYg - EKw+FUKnYEp3d3dLpVKlUjkVuoQZDfBalZeX9/f3kySZlpYWHh4eGRmpVCoJgqAoKisrKzMzU6VS - RUVFqVQqVP2OZVmHw/G73/3u4MGDBEGsWLEiLi5OLpc7HI6zZ8/W1NQ8//zz3d3dv/3tb4ODg/v7 - +ymK8vX1hahUbgdMJhNN0zweD1IeEINRgkKhcP78+WCmY1n22rVrly5dKikpeeihh15//fWVK1eC - t7NEIgkNDc3Pzw8ODoZJ6HQ6fXx8UlJSiP+0vBEE8fXXX7/66qv19fUOhwOFcbIjh0deJyD15eTk - 7N27F7xqRSLRP/7xj6+//tpkMt13331btmzx8fFxuVy+vr5BQUHvv//+X/7yl7Kysj//+c9yuTwr - K4sgCJvN9t577127di06Ovr3v//9okWLUMsikeixxx7bsGGDXC6vqKg4evTo4cOH9+zZQ5Lkiy++ - 6O3trVAoRCKRXq8HOy2fz//www8//vhjh8Px6KOPPvHEEyC6dHZ2vvbaa/v379+7d29MTMzDDz/s - 5eUFpviqqqq9e/e2trZKpVKXy/Xvf/87Nzd3+fLl8BBRcDgMHXgueB4T2Ky///77e/bs6e/vX7Ro - 0YMPPpibmxsYGGixWD766KP33nvv+PHj+fn5CQkJxKBRNzU19aWXXkpMTLTZbDwe74svvvjss8/a - 29sPHTo0e/bsJ598ct26dcjl+6233jp48CBN048//vi6devEYjGkDFAoFBRFgV0RbKpFRUUffvhh - S0tLfHz8Y489tnHjxoCAgIGBgf/5n//54osvvvrqq4iIiKeeekqlUu3cufPAgQMwbk8//TR4ztts - tr/97W8ffvhhc3PzK6+8IhQK8/Pz4bkIBAKXy2W1Wk+ePLlw4cLMzEy4IugCINn4okWLvvnmm4qK - CoiG+PDDD/fv36/RaFasWJGfn5+dnW00GnU63cyZM9FLN3/+/E2bNuXk5JjN5tOnT//www81NTUf - fPBBdHT0li1bpFJpS0vLtm3bjh49KpPJ1q9ff//998+cOVMgEJw+ffqtt94qKyt78803xWLx1q1b - vb294VkQBNHb22symfz8/NweH+QvAFM8O0KcMEoLFxERERgYiCLhWZadOXNmZGSkw+EYGBigKEqp - VM6bNy89Pd1sNjc0NLS2tnZ1db3xxhs2m+3ZZ58NDw8f6R202WyVlZXgfFFSUnL69OmUlBS3Uucg - B8pksvDwcG9vb1hPOjs7z549+/DDD//ud79bs2aNRqPp7+93Op1SqTQuLg4stK2trX19fc8991xH - R8fOnTsDAgIOHz5cWVlps9n2799fUFAQGBjIcjwgSJLU6XRtbW3gow5XZ1lWp9M9/PDDx44dc7lc - wcHBgYGBLpers7Pz888/P3z48NNPP71161aFQsENt3n++ee7urr4fP6f//xntVq9ZcsWuVxODsax - w1yFhAuQv4CmaXipCYJQKBTgfVBbW9va2trS0nL48OHNmzeDQbu7u/t///d/P/74Y4vFAm72Doej - sLDwm2++8fPze+ONN9auXQvu9O+9997evXsNBsM333zz5JNPvvDCCzANeDye0Wh85ZVXqqur77vv - vvj4+NG83dMUiqLsdvtIYREYDGYqU1xcPLzTOJa0MdePwWAAU89kd+T/yzMhISGT3RHs0j82YCFK - SEhQqVRarfa+++7bunWrl5cX9xiSJPv7+3U6HUVREMINMtj3339fVFRktVqXLVv21VdfoTDFH374 - 4eWXX7527VpZWVl7e3twcLCXl5dAIKAoymazwbnE4DOKiory9fXl8XiNjY19fX3+/v6Q9FupVD7y - yCPr16+HNm02244dO7788kuNRtPY2Gi1WiH0miCIsLCwhx566I477nDr89DbbGhosNvtM2fO1Ol0 - 7e3tECx944A+wJ4e9WHu3LnHjh3j8/nLli3Lzc3l9nPDhg1XrlwZGBgoLi4+evRoVFSUv7//+fPn - z5w5Y7fb77333pycHJKTXs7LyysnJ2f27NkMwyQmJhYUFLS3t3d1ddXU1HR2dgYGBoKpvL6+XqPR - pKWlWa3WEydOdHd3z58/f/PmzZGRkdArtVr9wAMPQLw61+rIsuzhw4cbGxsVCsX8+fPr6upaWlqK - iopycnKCgoJGkrs8wLIsj8czGAyFhYVdXV0BAQEPPvjgunXrYBBUKtVjjz1mt9tPnjwZGRkJAh7I - 8DExMbGxsTCMJEkqFIoLFy50dHSUlpY2NzcnJCSAvgBE2dTU1OPHj7tcrtmzZ4OqAozMIpGIG2rL - suzFixc7OztVKtUjjzzywAMPKBQKlmV9fX23b99us9mKiooCAwP5fH5LS0tZWdnAwEBKSsrq1auj - oqLg3qVS6TPPPGM0Gnfv3n3hwoXTp09nZWWh/OESiYRhmAsXLhQWFkKIODEoX+n1eq1WS1FUYGDg - okWL2MEMgmVlZRqNJiMj44EHHvD19SVJsrm5WSQSodD05OTk5cuXq9VqHo+3cOHClJSU3//+9xUV - FTU1NX19feHh4YWFhbW1tS6Xq6Cg4JlnngEXFZZlf/7zn/N4vP/6r/+qrKw8e/bskiVLMjMzxz6j - R4RhmI0bN27fvl2hULhcLpAVJRIJKDXgjZbL5Y8//vjKlSvh+DNnzuzcufPixYuXL19uamqCmxra - LEmS5eXl5eXlVqtVJpPZbLbCwsL8/PycnBziP2uqkSQJ5vFf/OIXIpFIo9G8/fbbe/furamp+frr - r2fOnAkKO4IgAgIC3n777ZSUFKFQ+O677+7evbu+vv7IkSO/+c1v/P390dV9fX1HU0wE2vzkk0/O - nTtHUdTs2bMff/zxn/3sZ1ar9aOPPtq9e3dHR8eePXvWrVuH5gCPx2traysuLqYoSiaTWSyWwsLC - e+65B37KYT5s3749NDSUZdng4ODW1ta3335bq9XOnDlzw4YNEomEpunQ0ND4+Pjq6mq33Hh2u/3N - N9/8+OOPnU5nZmbmrFmz0tPTGYY5derU6dOnNRrN3/72t5kzZyYlJaFTILfcl19++bOf/WzBggVu - mtBbGKQ36erqguAFLGljMNMFeH9ramrcFyyWZXt7e8FhDwsDmGGB3dhPxosil4kpMpGmQk9QUplJ - DOafdoAEggy83ChiMKdIJBLI03Pt2rWenp6oqCiz2VxYWKjVahMTE7du3QpWR9gW5+fnt7a2njx5 - MiMjIzg4mM/nq9VqmUwGSYO4MwSCDMFYp9VqTSYTQRC+vr7gwwxGBoIgGIbh8/ne3t5CoRBMfGB8 - gEbAXdZms7GDSXHBojh0w7R06VKlUrlw4cIPP/zw008/RcaoGwo76CYNdwqjZLPZent7bTYbbP1h - X+vr63vfffdVV1dfvHhx79696enpOTk5+/bta29vT01NXb9+fWRkJMgw0DLkcoOU2rBxN5vNLMtC - UQaj0djf3w/CCYxnfX19S0sLBCoHBwdDIzwez+VyZWRkvPPOOzabTSAQgLqEx+PpdLorV67o9fr4 - +Pgnn3zy22+//eSTT44ePbp48eKAgIChw+twOIYNM3YbjZ6eHq1Wa7PZ4uPj1Wo1TdMCgYDP5zMM - IxQKn3vuuSeeeEIkEonFYnABYFnWYrFYrVZ4Xi6Xq7m52Ww28/n8yMhIf39/txEG51sAEq3b7fb+ - /n4fHx+kmgFpv6qqymg0JiYmzpo1SyqVsiwL3YiIiHj11Vc7OjqCgsy6vMAAACAASURBVIL8/PyO - Hj3a2dnpdDrnzp0bExODbPhgQY2KilIqlb29ve3t7QMDAyBKEQQxc+ZMyCywZ8+euLi4pUuXikQi - KEvp7e0dEhICZnbwzoWnwA4mFIQUXARBhISECIXCuro6yIZF0zTMH/BjCggIgKyBsOiB04der/f3 - 91+7dm1SUhIcBoOWn58PIeiXL1+uq6vLyMhArhwTAjwyFB4MKwmMFTwLmDCg2xIKhXPnzk1JSamq - qhIIBCPJdSzLOp1OyOWWmJjo6+tbU1Nz+fLlsrKyjIwMyOo3tBsQAR4REfHMM89UVVX19PR0dXX1 - 9/cjLbBarYbxZ1l2+/btLpdr165ddrsdXh/UlEajGc0SAXLap59+ajQaY2Ji3njjjczMTJZlvby8 - XnjhBbvdDqENXJM4y7KffPJJd3d3WFhYTEzMhQsXzpw5U11dHRwczOPxYKBiYmKeffZZ1JOvv/66 - t7c3LS1tw4YNECTPDuaT5/aZz+efPn167969er1+wYIFH374YVRUFFx369atL7zwwu7du2F5ee65 - 59AAguauq6vrrbfemjFjBjdM/XZAJpMFBARMSnpdDAYzbuCF/dWvfuX++8EwTFFRUUJCQkJCAn6r - McPicDggD6pnWRF+bqcOkzufYbfR19dnMBgiIyNBWpisLoEj9E+WfJsiIH1NX1+fTqfz9fVlByvf - QJSpTCbz8/MDKRfSHdfV1TU3N1sslmXLlkHEI9pECoXCRx555NFHH0Ve2RAQaDabIQASHg3svBsa - Gnp6ehwOBxqr6upqnU7ndDo7OjogJ4LJZOrr67t69ardbg8NDY2LiwPPSXjiBoOhvr4eUijDFYVC - YWhoKNetFHau4ADvwQN22JEZ/TAO+6xJkgQ9AsgS9fX1BoNBr9fDPYL9Cg3dvHnzNm7cqNVqGxoa - Dh06VFZWduLECYIgfvazn8XHx3M7AxJIU1NTVVWVWCy22+0ffPBBY2OjQCAAj2sQmAUCwcDAAOgv - LBYLRVH+/v6pqalgp4Wm+Hw+qCpQvmW40KlTp8rLy20229y5cyMjI3Nycn788cfm5ubS0tLZs2er - VCq3O4W54UHXBl81NzcbjUaCIGJjY4ODg1GEOarZBgpo5JYCpxw/frytrY0gCHBwbWxsDAkJAWES - jTBvEDgLNEQEQcjlchD/SE5qt5aWltraWr1eD8ssir4BsVChUCQnJ4PEDrIrQRAKhQIKfaEnKxAI - cnJyQkJCGhsbGxoaNBpNZGQkXGjWrFlhYWGffvppZWXlvn37kpKSZsyYYbfbXS4XxI2jKmgwLMgp - F4pWwgsFvero6IDkZz09PbW1teA5b7FYfvjhB41GExAQkJqaCmZYo9FIUVRiYqJarUbuACDGK5XK - iIgIhUIB8qTnQmLD4jlGSaPR1NXVRUdHQ65QlmUhGQH39P7+frPZLBaLIf1+ZWUlTdPx8fHDJqgH - rUd9fX1xcbHRaNyyZcuaNWt+97vfHTt2DHIxxsbGjjTTQG3R09MD8cbh4eGgjoHj4+PjIfwedEmQ - UIDH48H8h+zooDf0/PpDnnY+n19WVtbb2+twONavXx8fHw+PGLQwW7du9fHxgfWTGDRl9/f3Hzx4 - 0Gg0rl+/fuvWrevWrWtqajp27Njs2bPBi4EgCKhTyDAMTBXobWhoKJ/PhxWYZVmpVDr0cXz++edW - q1UgEDz44INBQUEQIAOD+fjjj3/77bcmk+ngwYO//vWvIUscMZjIwGQyHTp0aNWqVRs2bJgKLnI3 - ARhqhULhFoOAwWCmCwzDuIvZJEkGBQXJ5fJJ6RBmioMcCCmKkkgknu3D2EGaC4xDRETEaBwBbhxw - dY1GIxQKfX19R1OuZtKB4XK5XN9++63T6QRnRdicZWVlZWVlQbAuQRBonw1JfYVCYXBwsFKpJAer - HFutVoPBYDabZTKZQqGA8OmIiAi5XG6z2bhGEnKwjDBY5BQKBVhXwsPDvby8mpqaPv74Y6jiU1NT - 09bW1tnZKRAI7rnnnnnz5qGaVQRB1NbWvv7666Bygu11QEDA008/fccdd7jNAZfLRdO0UChUqVSw - k/5JbxG3v8n/rErNvZGfBE7MyMjw8/OD8RlqxGMY5uGHH25pafnkk08+++wzoVDodDrvvvvutWvX - BgQEoAvBpfV6/QcffHDkyBGpVKrT6VpbW81mc0xMzOLFi8PDw/V6PRIY0NMBvwBYYbhrCzxW7ovj - cDguXLjQ29urVqsLCgpAbxUdHd3Q0LB///6cnJy8vDy3zqtUKlSOYdjbh24jMXtoIWhysEA6/A0F - vaVS6bVr11588UWYeMxgrem1a9cuWrRopCuiyyG/UJFIBGnDyUEfdYVCAaZUtwLUIGnDVbgCsJv3 - EPzr6+urVCqhHW40RE1NzYIFC9LS0rq7u8+cOVNcXBwdHe3j4wMmX7FYbLFYnE4nUg+NpKMhCEIu - l8NUKSoq6unpgVO0Wm17e7vNZrv//vvvvvtupVKJ9EfgHcBtxOVyCQSC1NRUHx8fnU43Ps9YiFEa - dkHj8XhHjhxpamqaPXs2JMlnGCYhIWHOnDkg3xIEodPpvvjii7q6uoCAAK1W+/3339fW1qrV6mXL - ls2YMYM7AiAZCgQCp9O5Z8+eS5cueXl5xcTEzJw5Mz09vbS0tKioqKysLDIy0k0NzTBMU1NTcXEx - uDAcO3YM0grExsYGBgbCegWjUVFRARnpz58//9FHHw0MDCQnJ8P0CA8PhxKGP1nvury8HP6LBPIZ - M2Ygkz6fz2dZFqoeEBxVGkmSJ0+e7OjoIAhizpw58fHxMTExXV1dBw8e3LJli6+vL7QJmiM4Hrkt - gDoSKo2Btgg5IqGha25uttlsERERaWlp3EL3YOHPzMyECogDAwPMYCU5Ly+vpUuXHjt2TKvV7tmz - Jy8vD57IOCbJdAReauwujsFMR/h8vvtGisfj/WR+UcxtC0yMUUZc41k0lEkUsBHg5gpOiVPBkX70 - gF8x2nAwDPPrX/86OjoaJe9BHhZgbQN3UGJw+1hSUnLy5Mna2lqtVhsSEpKYmLh27dr4+Pienh6r - 1YoOBuCU3t5eq9XKsmxISAgYSGFryOPxWlpa/u///g8Oo2maoqjU1NQVK1ZAtiRuJyGHk91uFwqF - UCgbvM3dBh9tnZGDrmecTif0Dey00Df412q1gjBAEAQUsJXJZNyq4EOBzvj5+UkkEigpDDtg1ENk - g129evWlS5cuX74MDtubN2+Oj48nhrzvPB4PvA+gIrFSqUxKStqyZcv8+fOR6ynBETjb29tNJlN7 - e/u1a9cg5ZXb+EAHYPNdV1dXVFSk1Wrnz5+vUqkMBoNUKgUJpKWlpaamJicnB5VBBiAU3PNsJ0lS - KBS63fjQY4hBtwiQhEUiUUhICLjUajQaeNxOp9ODSdbpdFosFvBIJwiC65kMdw3+9i6XCwq2u/UH - xDyYrpDYmRiytkA7/f39er0efLm5oyGRSOLi4h577LHW1taLFy8eOHAgPT0dLJzIwswVsIedk/BV - eHg4aLJMJlNraytY+0EDJZVKY2JiwAQ6MDDQ39/v5kXM7S38wX0HxwRX8Bv6bUdHR0dHx6lTp1AI - ACQmBDGbZVm9Xn/q1KmioiJmsCI0wzCZmZnx8fFc/RFJkgaDAXRhHR0dFRUVRqNxwYIFycnJQqFw - wYIFR44cKS8vP3z48OzZs2fMmAGaI7iE2Wx+//33P/nkEz6fD/o+kiRnz569YsUKiJIA0Xrv3r1n - z56FTmq1WqfT6e/vf99994GICyvJaJYIVAHOYDDAqKKHixQx4OFPDta1hqXs888/t1gscXFxc+bM - kUqlGzdurKys7O7uvnDhQnR0NNQb414IPTKDwQBzHvUNbDZoAMGdgWXZ+Ph4uB2uWhDUpkeOHIHp - h84SCoUvvPCCSCTav39/SUnJsWPHNm3aNO55Mu2YCnsGDAYzboYJOoK1GCvPMAgwniB7yFgNZZip - AzwyyJM8vWBZNiYmJiEhAcQnEEUyMjK4DnXIERRtQ9F2jWXZgwcPfvHFF2azGbKdBQYGRkdHx8bG - cqU+BJwVFBQEolRjY2Nvb294eHhDQ4PBYCAIYsaMGXFxceB92tLSAtmJy8rKcnJyvL290Tvi4+Oz - adOm7Ozsuro6Pz8/rVYLiX883Kler4fN6Eg7afi8vr7+xx9/tNlsDQ0N3d3dJEn6+PhAn7u7u2ET - D9KgXC5fsGDB6tWrQ0JCPG/aYNz6+/s1Go3D4UBDDd+CHTU3N/euu+6ChG3Lli1LTk52M7aQg97F - iYmJM2bMCAoKioiIUKvVCxYsCA8PJwdTr0HqKdQfVPLKzdpJcALIQWIhCOL8+fPd3d0sy3Z1dX3z - zTfnzp2z2+2lpaV2u91isRw5ciQ3NzcpKYlrkPfgNM4wjMFggEpmISEhoEOEMktDhx0Cqnk8ntls - BtWAv7//gw8++NBDD4nFYggRLy0tPXPmzD333BMbGzv02YHE1d3dbbValUoly7Ko1joxuKuOjY0N - Dw+HrFoQMMztOTnotU4QBCQIJAbTWXMvRJKk0Wh0q4iODJv+/v4zZ87Mzc1tbGwsLCyEGtEgvZMk - iQLF4b+gihp2zqBST35+fuvXr8/NzRUIBMePH//hhx86Ozu//vrr7OzsRYsWoTJXbq4K6JaRr/ho - dEzoRPQ3CPPDzm2WZSMiInx8fEQiEcTAUxQFCeRROzKZLDU1NTIykqKojo6OtrY2qG3OnTPkoBMv - jP/Ro0erq6utVivkAoA8VeHh4VVVVUVFRRUVFVFRUdxuMAxjsVhA+yAWi6OiouLi4rZt2zZ37lyW - Ze12O0SvSCQSCMuCY3x8fHJycu655x7wMQS3mtEEJ6O6g2VlZTCFhq5yYHxG3ePxeDU1NZWVlWaz - eenSpWKxWKfT3XHHHd7e3lqt9tNPPwVL8tDHB38M1StB2TZ0XSi8R5LkUFcRuDpa97gqYC8vL5Zl - d+zYcenSpaqqqjfeeGP27NlDyzRiMBjMFGQYMfu2Si+BGQ0Oh8NkMimVSggPw9L1dAe2ztPlOaJd - 2qZNm37zm99ww25JTtUrgiBgZ0wQBJhcYPNK0zSIc3l5eZDlq6ys7OrVq3CMy+WKiory8vIyGAyQ - JQvFoxIEER4eHhAQABZO+CQ2NlalUjEMs2jRomeeeQZqgJ04ceKll14qKys7d+7c0qVL09PTkaYy - MjJy9erVUCOa220P94usiCMdBlvS6urqb7/9trOzUyqVgvzZ2dlJURRN0zKZDDasUB9IKBTGx8d7 - tv/AtcDsiSyZQw+D0Q4NDZXJZHK5PC0tLTQ0dGiQP0mSkLr5/vvvR368kFJLIBCwLCsWi4ODg1FK - KoIgkpKSIEB0YGDAbDZznzJN0/X19b29vTNmzAgJCaEo6vz58729vQKBoKur64MPPkBHQvtVVVUN - DQ1u6UUgPZ5buQF0183NzXBTGRkZ4ADf0tKi1WpjYmK48kBPT09TU1NAQEBkZCSyD6ekpCxbtszX - 15dhmM2bN4eGhj733HMtLS3d3d0QXDN0GKVSKTgOoFEl/nNWcHPv6XQ6roqBIAi9Xg8+0j4+PhKJ - BEyRkOQMiY7QLFQjJwaN+ehzcCQWiURr164tKyu7cOHC4cOHbTab0+m02Wwg8QqFQrPZzOPxpFKp - wWAAG+9QUKH1jIyM+++/Pycnh2XZ/Px8i8Xy3Xff6XQ6k8kE/iDBwcFSqbSvr6+vrw9uEFoA9Q0K - Mh8Jz643kM9s2N0Ly7KrVq3atGmTj49PUFAQcsXiuuP6+/s/88wza9asoSiqurr67bffPnjwYHl5 - eVVVVWZmJtcNBJ6aVqu9ePHiwMAASZLHjx+HclaQzYFhmJ6enoqKigULFqCccwRByGSyvLy86Ojo - 6Ojo4ODg0NDQmTNnIg0LyhcYGxu7efPmnp6e0NDQnJyctLQ0lUoF8c8EQURERAQEBNTX1yMlyEgW - kZ6eHtRhrn58qM4FQdP0P//5T61WSxCERqP56KOPQCVkNptJkrx06dLZs2fVajX3teViMBhA14MW - BOQiBO5Ccrkc0mWPNJe4mQWQLglq/mVmZt57771vvvlmfX39v/71r6eeemr06hgMBoOZLG6X0giY - 64HP58NWfrI7gpkYpqOvilAoBH9U2KIhkylXHEUlfKOiory9vZ1OZ319vVarBZvhypUrV6xYQZLk - 3r17X3nlFafTCWGEYGQzm8319fV9fX2hoaHItfLSpUuNjY02my0qKgqEQMg0ThCE3W632+0gNC5a - tOj7779vamqqq6vr6elJT09H3YZM2na7HRnEQBjw8AhQsrSR9pFwj2vXrs3KyoK0YSAGOByOvr4+ - q9UaFhbW0dFBUZTFYoEES1KplJtnayR0Oh0YP0e6OrTg7+8PFaHAkjnsvYAjNIwtNAWmLWJwc891 - Y2ZZNjAwEDLDnTp1Ki8vb/ny5ZD9gWXZysrKP/zhDyUlJdu2bXvkkUdKS0uvXr1qMpni4+MjIiK4 - 4bg6na6urq6hoeHMmTNz5swJCwtDX1ksFlTsDQ0CPGWRSJSQkADtgMFTJpOVl5efPn06ISEBpdzr - 7+9/9913P/vss7vuuuuZZ55BEhRyMgetTVxcnK+vb1lZWWVlpVarjYiIGDqAEonE19cXLHtDRxgE - 4JiYGIVCUV9fX15enpWVBV4bJEnq9fpPPvnk4sWL8+bNW7NmDVQ/qqurKy0tvXTpEiQagIGFul9a - rVYsFicmJoIvA9x+S0tLX1+fWq2eO3fuvffe29nZCfW6NBqN0WhsbGx0Op0ikchisQiFQqlUmpaW - xq0mxe2tr69vcHCwTCYLDAyEHGYMw/j6+mZnZxcVFTkcDhDRhUIhaBZaWlrOnj07e/ZstVoNjTAM - 09XV1dHRYbFYwMzu9luDkoFzVUWgyhEKhdyJ5GbPR38rFIqYmBilUgkZEEC65s4EMBGD1Tc9Pf03 - v/lNfX392bNnGxoajEYjN0UZ6CiPHTtWVFQEyg6z2fzjjz+id1YoFJpMpsLCwoKCguzsbJT0TiKR - bNiwYdOmTahX8PrAzaLOrF27duvWrSqVCl0OXMlIjnM1d3CQ8Mx9mwiC0Gg08N+FCxe+//77BMff - gR3MbcEwDASegKKkpqbmxx9/NJlMPB7v66+/RpeAyghWq/Xjjz+eP38+pAcfOnVVKhV6cHAJrVZr - NBqdTmdfXx9YsOEsyNTAHX9YQ0Aj49Y4WkMefPDBCxcuHDt2bN++fbm5ufAQsbCNwWCmMljMxvw0 - kOpzsnuBud1hWRYyQg3rPUsMbsdZlo2KioqJiSkvL7906VJxcfGMGTN4PJ7T6YR0xLC77evr6+np - oSgqIiICkqgVFRUtX748MDAQ7H59fX2XL182GAwikQiJ2SAMEINxvLDP4/F4sbGxCoXCYrFAgCKS - /UwmU09PT39/P4grEJWNHEOGvc2goCCpVGo0Gj2LxCRJQkFmtM/28vICWYhlWZSTDFlKPbfGDsZm - e+gYIiAgAGx6w/rbA1C1i2EYONJzm5DZ7q677rp69WpFRcW7774rlUpTU1MhwPutt946f/48pCKn - afrbb79tb2/39fV99NFHt2zZwo0aaGho2L59+6lTp6BmNRLkCIKwWCwgRiJRE0ZMLpfzeDzwyGVZ - FspNXbt2raamZv/+/QEBAfn5+eBmfODAgT179rS2tur1ervdrlAoYLKZTCaHw4GEbYhsl0gkAwMD - Izlac31ih/2WIIjc3NyDBw9eunTpwIEDoaGhc+bMgfpqhw4deueddxobG+Vy+bJly0JCQlauXFla - WlpVVfXRRx95e3tnZWUJBAK73X769Omvvvqqs7MzOTl55cqVERERSAqF1ICgY9qwYUNJScn+/fsr - KiqIwWBvGB9UqJym6ZFCzcH6TdM06JiSkpKg/3FxcSqVqry8vKamBoLnly5deubMmfPnz+/bty8k - JOSXv/yln5+f1WrVaDT79u2rrKwkCOKee+6ZM2cOEk2JwRBiAD6EKScQCEJDQ+VyeV9fH2TM4sqZ - 8HqiTkL5PWTHRkImSgvHsiyfz4cRhgUkLCxMJpPpdDqLxeLn58ddc5xOJwiKPj4+M2fODAoKAndo - o9HIsmx9fX1dXV19ff3Vq1dTUlKQOgaivlHSBJIkIVDCzcgMQ2232+Hlgl6hmzIYDBaLBcVae1DG - oSkN6i2SJK9du2axWOB9hBagIrfT6VyxYkVcXNyXX34JSpnIyEiUJ5xhmMjIyJqamqampgsXLhQX - F4eFhQ3r7ZKUlATaCrQsQ7ZzgiBgUSVJMiIiQiQSdXR0QJY4lCMQbq24uBh0mihvJQDzwc/P74kn - nrhy5UpdXd0f//hH5PuAJW0MBjNlwWI2ZlR4dtjDYG4o5GA2L+7mm3sA1+0WjD8FBQXFxcXV1dV/ - /etfY2Njo6OjoZY1FJqCZNpQ7DojIyMqKqq6urqkpOSf//ynw+EIDQ2lafrHH3/89NNPW1tbExIS - oqOjwXA9a9YskGBRl+Df9PR0X1/fq1evQgYvX19f2F+2tLR88MEHhYWFkNIcYp6XLFkyb948rkMp - uguQ00Yq1esGsvKh/oAshOx+IDyM/s3lJgAb9iwY5ytXrgwMDAz1FUePANySIcvX0EZgFw6RqPAJ - eETPnz//zJkzAwMDhYWFJpMpLS1NLBaXlZVVVVXRNJ2WlpaXl6fT6a5evWo0GpcvXw7O+XAJaHPG - jBlLly6tra2trKwsKSlB5ZdJkmxqatq9e/fx48dRH1wu17Jly+68804I/kT38otf/OLq1av9/f1X - r159+eWXDx8+rFarIUVWd3d3VFTUkiVLIiIiKIqCsQUrLmrB19cXTMrXrl2DisrDjgDJSUblBkyq - uXPnLlu2TKPRlJSUvPzyy1CljKbpkydPtrW1xcbG3nnnnSEhISzLFhQUHDlypKenp6ioyGazpaWl - yWSy3t7ec+fOdXd3R0RE/OpXv8rKyuK2jwLgoZjW6tWrL1++XFtbS9N0aGhoTEyMW8guOcjQ+aDX - 68GHQqlUymQyGHAejxcXFxcYGCgUCiEhn1AozMzMXLlyZWdnZ3t7+1tvvWWxWLKyssD14Pz58zqd - Ljk5ee7cuZBiAA1UR0fH2bNna2tricFYYh8fn9jYWKVS2dXVZbFY9Hr94cOHnU4npA0DnwK1Wo0y - UJAkeeXKlUOHDoGmDN4OoVCYmJiIfA3c3miVSgXxINXV1R0dHSinADyympqawsLCnp6exYsXv/zy - y1lZWeRg9AGfz//mm2/++Mc/VlRUHDhwIDMz84477kCXEAqFI6mxwPeex+PV1tY6nc6hmcbg0p2d - nQMDA06ns7+/v66uDizPMJcEAgGqZEEQhFqthhZmzZqVkZHR19e3b9++7OzshQsXqlQql8ul0Whe - e+21AwcOgM4oODgYpNy4uLg333wzNDQU+kxRVExMzN69e3fu3NnT0/P9998XFBSgyvaob8RwSje0 - +CCh/e677z5y5IjJZNq9e7darc7OzgZTud1u37Nnz5UrV2w226JFi7hrLPcqd955Z15e3sDAwPnz - 5+GWh44k5kYADil4E4jBjBW8SGFGBV5eMTcfkpMcy4Pdhhjc53ELF/385z+/du3aZ599VlFR8dBD - D4E1D7ZuhYWFer1eLBaDsUUsFi9fvry1tbWurm7//v1nz5718/NzOp3d3d0WiyUkJOThhx8uKCiA - LR24rKPUPshuExQUBAXSIEE0yLd8Pt9sNhcWFrrdVFtbm1qtTklJcdtKwt9arRaso54lZHKwIDP3 - Q7TNHWuIBxpqqVQaEBDA9f8cemRAQIC3t7fNZhu2fpK3t7dSqQRTNtdTFGAHiwIikQki5wmCSEhI - 2L59O4Re19XVXb16FZ6OUqnMzMzcsmXLrFmzjh49ajQao6Ki7r///gULFnC39TBDVq1aVVxcfPz4 - 8erq6p6eHghg9vPzo2n6xIkT58+fRwMF9rG5c+dCMmSuHPXQQw/pdLpTp04NDAwcPXoUpESJRJKc - nLxt27a1a9eCDTw+Pr60tBQCpJHkLBAIMjIySkpKvL29uRUZoH1vb29IjO+5WAP4jT/55JMSieSr - r75qa2vbt28fJA6Qy+WJiYnbt29fsWIF+NX7+/s/8cQTIpHo9OnTzc3NlZWVLMuKxWIvL6/k5OQH - H3xw48aNqBQTuAejcuUwgAsXLszOzm5vbzcajVAWC/nuQrfh9iEO3E2Ukslkvr6+Pj4+KSkpSDYj - STI2NjY5Obm8vLylpQVKrxEEsW3bNpqmP/vss56enl27dsG7w+fzJRJJamrq888/f9ddd6HU3KAO - OHHixMmTJ7mzKzIy8ve///3ixYvh/XU4HO++++67775LDFZ+Zll26dKlf/jDH8D0LRAIjh07duzY - MYKjj5NKpc8///xjjz2GXiLu8sKybGBgoEwms9vt3EBi0B/9+9//rqurU6lUS5cujY2NhWTdcIDT - 6YQZ2NnZ2dTU1NXVhRYuDysYSZJBQUFqtbqtra25uRl8XoYeQxAEOP93dXWVl5e/9NJLKJTA5XKp - VKpXXnkFSsHx+XywHsMb9Mgjj9TX1zc0NDz77LMbNmzIy8vTarWFhYWHDh2y2+3JyckZGRkNDQ0N - DQ0URS1fvjw1NRXqwMGlaZpes2bNp59+qtPpTp8+3dbW5iZmQ9/a2trsdjs3GQGMIddiX1BQkJOT - U1hYeOrUqZ07d27dujUmJgb0IH/96191Ol1gYOCqVavgvoYOF8MwTz755MWLF51OJ3j4Y24OAwMD - fn5+06ICKAYzpcBiNgaDmaLA7i0yMjIuLs7lcgUFBY0k+0VFRUVFRWVlZQUFBcFZIpFo27ZtUqn0 - 4MGDHR0d7733Hpg9wYvSz88vNjY2MjISNqNbtmzx8fH57LPP6urqjEZjV1cXn89XqVSJiYmrVq0q - KCgAkwvszhMSEiwWC+xEkSgyY8aMu+++myRJqJfL4/FmzZrVPj3zCgAAIABJREFU2tpqNBq5eX1A - DFiwYEFwcPCwtkGSJNesWdPe3t7d3Y0Chm+CkoscTLC0YsUKiqIKCgogxNft0jAIOTk5mzZt6u7u - TkpK4h4A3+bm5m7evFmn061evZob1IouBIOwdOlSl8sVGxublJREDPoGZ2Zm7tq169ChQxUVFY2N - jWazWa1W5+bmrl69OjIykiTJpKSklStXBgQEzJ49m9tz1HJ0dPTTTz8dExPj7e0tEAji4+M3bdqU - np7e1NSk0WiioqKgrFpwcDCfz58/fz5Kqc1tLTo6+k9/+lNxcfHFixerqqq6uroCAgIiIiLWrl2b - nZ0N6bglEsljjz3m7++fnJwcFhZGDjpZkCS5bt06tVoNHsVuLefn5/f09IAATIzsJQT3olQqn3nm - maysrO+++666utpisfj6+qakpNxzzz0ZGRngSwy22fT09L///e+FhYWHDx8uLy9nWTYmJiY5OTkv - Ly8pKQl5KMAtt7e3L1y4UK1WI82Cl5fXunXr7HZ7Y2NjZmYmRHFzux0cHDx//nySJBMSErglmkA+ - 3Lhxo0KhyMzMhCzcxKCANG/evK6uroiICLByEwQhkUiefPJJtVp9+PDh7u5ucL4Qi8XR0dEbN27M - zc1FWTalUml0dLRGowHJFkC6LYqieDxednY2pE4AZ2+I14ASa7BWBAcHJyYmulwukUhE07TBYBAI - BOAtApkCGYZJSUmZPXu2QCAAWzd6KPn5+WfOnJHL5ciBGT632+0DAwNSqTQ/Pz8/Px98UlCOMfAi - ycvLu3jxokajAQ/wgIAAqFnANdS7IRKJQkND/f39s7Ozwd976JQgCILP5wcGBgYGBrIsC+nWaJqW - y+WgC2hqalq8ePGcOXM0Gk1kZCQczzDM0qVL29ra/vGPf7S2tr755puffvoplHkTiUQpKSnPP/98 - SkrK+++/b7PZwsLC8vPz4d1HYQIkSXp7e99///2tra29vb3Xrl1LT09HidDgX7FYDAXJCI6yIz4+ - fubMmVqtFoRtp9Pp5eX16quvbtu27eLFi8XFxRUVFaGhoQzDQC4JhUIBbys56OuBFD3o34yMjDVr - 1nzwwQdQpnuk8cRMLNjQgsGMD3Ik9eqkAJl+IS/RZPcFg8FMPshL2W63JyYmcksfoQNIkjQajSUl - JSA5I3spQRAkSRYXF0Nq8e7uboIgXC6Xr6/vnDlzkH0bNpR8Pp+iqEuXLkGIqVgs9vPzy8/PDw0N - /fHHH2NiYpKSkkCkMZvNLMuC0Y/bB5ZljUYjxGBDB8Dahize5GAIqFwu97DEsSwLMb3gOHqT9zfo - F8Hzdble+uNohPu7wz0Ghgs+MZlMNE1D1Cvs+EEnMtK5AETwcr+F4x0Oh8VikcvlbonHhu0heLPD - Jp6iKKvVCkWYkJUVnTXsOHi+fc9D53YvMDOhfpjD4ZDL5VAXnRjM2oU6DFnWSZLs6OggSTIgIACE - T/Q5atZisfD5fK73MtwXpAcDTw2u/I+mNzhZDLVogSQ81LcCeeyjplA1L5qmHQ4H/BeSmYPtlHtw - W1ubXq93uVwDg4CjBOg1ILC8oaFBLBZ7e3u7XK7S0lKz2SwSiQIDA2NjY729vRmGaW9vFwqFSqXS - YDCUl5eDd7RUKoWSfiD3gucFJFRD92u1Wo8fP65SqbKysrhB3QRBNDc3X7p0KTU11S2bPUKv1x8/ - fnxgYGDhwoWxsbEGg+Ho0aMCgWDevHkBAQFDnz5c8eTJk6WlpYsXL4ZadCPNkIMHD7a3twsEgv7+ - fofDUV9fn5eX19nZSRDEtm3bAgICTp069e233957773Z2dncp3Dw4MH9+/c3NDTo9XoY56ioqJdf - fjk1NVUgEBQWFr711lvLly/ftGkTUpeg7hEEYTKZ/vKXv1RUVOzcuTMlJQWFtdM0/eyzz167dm3X - rl3wOfe9e/vtt48dO7Zz5860tDRYP3k8Xl1d3R/+8IeqqiqtVgvJ8JVKpY+PT15e3osvvhgYGAiH - ffnll2+88YZcLn/vvfegNh7cS29v744dOy5fviyXy995553MzMybv05iMBjMaJgqYjZ0o7q62mg0 - os00XjcxGAwSmImfEtvc8ki7Jekd2iz3YEjD6+anDTWoampqVCqVWq1GYh50yU3ccvtwaH1g7pEe - ttEoYpkczPZ000Bu3kOLVw972FAXYvgWHAeG/RbhcrmgTJFbyB98TgwK1fBcUH+g8aFnjdQy8Z9V - ytDYoiiDkTboXI93MAlCDSrug4POgFuym1jCvYVxDA4XyIwNMxP639fXJxAIILMA96LQsslkAjds - ctBleqgigxgSfg83iHINDJUD4Y7ccvsDNptNq9WqVCqUHxtdCy43dNBgVIlB3RNUI+ce5mFngkR3 - lNENvWgj6TXcpH0ENOJmOOWe6KFNtwVk6AHcc0ejWxmThms0cK8O+hqSJK9du9bd3Q2dnzNnDpj0 - uW7z7GCRs9F3z8OJQ2+cpmnIc3H+/PnDhw/39/fTNB0XF7dkyRJQZSKFC03T586dA6UJet+hwYGB - gUuXLgUFBSUlJQ2bsR8zsYzkd4PBYDwzVcRsMEFcvnx5YGAgIyPD399/2B9FDAZzGwKShgfJCtn9 - htrTnE4nyA9utruhkiSqUIVMpiglmNthxHBJwtx28/DfYXv7k4vbSJe4fUCjN1GDwFXWEGPR4XoQ - 0m4aox8NZHn2/KagFAbcEwmOBDtSH7jfIg0IGI29vb1HcjbxcEfAsBflFqBCVycGU7hxFV7wOahF - CE4Sb2JQaYX0NXAhMKuiRoYdW1BbuOkIUMdgDEdSRbkpU0apWwEPc5FI5NmbD9VCR5oF1H9YIWma - hjB+t3ZQXgnuFbmh6aiTHhRPxKByyq3nxHBKJWJw6XY7Bc0ct4PdtKLIM2Woroor0t+2iyQGg5n6 - TBUxG4F1ZhgMZsJxW+jGtMiARQ7HAWKmCJ5/JT0bTm02m8VigZJj4+4AyI12ux2ibQmCOHXqVHR0 - dFxc3LjbxIyPMW2ZQO2IFkM3iXo0TY3koUN4dDUa9hTQaaJPhvUKQa4rbqeDzD+sEgSDwWCmDlNL - zEZKSrxuYjA3FPyuYTC3FexgBi+bzSaTyUA+GZ/yiKZpnU7H4/EgEwG3sPNE9xqDwWAwmOnK1BKz - MRjMzQGK2UJGqMnuC2aagQWqW4D+/n6JRCKTycbxKBmGgXJuaPUYTewxBoPBYDC3FbigFwZzewEy - EjfuEW+OMWNlmk4bLA0ixToKbB4HPB4Paj55zj2GwWAwGMztDLZmYzAYDGYMGI1GgUAAdcsmuy9j - w+FwsCwLhc0nuy/Tm2mqZ8FgMBgM5kYDxTsEAgHeamAwtyMoee9kdwQznYA5097ertVqIe3wdIEd - rP1rNBq5WaBuW67z9R+HjI3HHIPBYDC3AzabTafTOZ1ObM3GYDAYzKgAG6ZerxcKheML651csNP4 - ZMGyrN1uFwgEQ7NGYzAYDAZzawDbJJvNZrfblUolFrMxGAwGg7ktuJmKBm6NbpqmNRqNUqlUKBRY - zYHBYDCY2wEsZmMwGAxmDGCb8PTFYrHw+XyxWHwTHp/dbjcajQqFQiqVQsa1qVxB0OVyTeXuYTAY - DGa6gLZJODYbg8FMAgzD0DQ97lzHkwKsmyzLUhQ1vXo+sZAkiaWRaQfMXrPZbLPZJla9PlJrfD5f - KpXy+XxwouPz+VN22jAMYzQa7Xb7ZHcEg8FgMNMetE3CBb0wGMwk4HA4zGazQqG4OYa164dlWavV - KhQKITjZy8trOqbaxty2wFwNCgqa2GYpinK5XCKRiM/nu30lFAqnUSQ2TdMikQhnUMdgMBjMRIGt - 2RgMZhLg8XjTq64Sy7J6vd7hcPB4PKFQOI16jsEgJrC+ALSj1+vb29vNZvOwzU6XqDQejxcYGCiX - y7GMjcFgMJiJAsdmYzAYDAaDGRtg+NXpdCaTyc/PTyaTEdM5Yh9nHMBgMBjMxILFbAwGMwmglWca - 7WuRQynekWMwGAwGg8FgPIDFbAwGg8FgbjsmJA4ZvNBxVjwMBoPBYNzA4YUYDAYzYWDFJWZaQNO0 - w+EYmjCfYZgxzWGSJHEdLAwGg8FghoLFbAwGg5kwhsobWPDGTClgQlqtVoPBQFGU2/zEda0wGAwG - g5kQcEEvDAaDmTAsFgufz3erUoarBGGmDjAVZTKZRCKBAnXoK6gJP42qcGEwGAwGM7l4yNeDY7Mx - GAxmAgBZ+vz58wqFIjY2ViKRwOdGo5EgCLlcPrSwMAaDwWAwGAxm+mI0GgUCgVQqHSppY6dxDAaD - mQAgF5TD4UC+uEB3d3dXV5fD4cA6zfExgaWeMZ5hWXassdlcHA6Hy+Wa2C5hMBgMBjM1gZ9Lu91u - s9mG/fXE1mwMBoOZAMCabbVaWZaVSCSQF8pms1EUJRaL3dzIMaPHYrFQFCWXy0Ui0WT35VbG5XJZ - rVaxWDy+caZpuq2tzdvb29fXF091DAaDwWCwNRuDwWAmABAtpFIp1z/cZDLRNM3n87HgMQ7Ajj0w - MNDe3g76C6wXvnE4nU6z2UzT9LgH2el0wrn4MWEwGMx0gabp61n5MR42J9iajcFgMBOGh0wYmPGB - fqTwqGIwGAwGM1GAF55OpyMIQqVS8XjY+DrB4EzjGAwGM2G4iYJY6r5+8OjdNK5zujIMQ5Ikfl4Y - DAYzjUD+d7gqyoSDrdkYDAZzQ7htpQ78U43B3LZg3SIGg8EA2D0Ag8Fgbgg6nQ4iiie7IzcVh8Nh - tVppmiYIgmVZl8t1u43AdIT7jFwuF8Mwk9gZzLTGbrebzWY8hTCY6QLOe3LjwGI2BoPBjAcPP0vw - VVtb28DAwE3s0SQDd63X69va2qxWK0EQNE3r9XqHwzHZXcN4gqIoo9GIas6ZTCaLxYLFJMxYQbVt - zGYz1q9hMNOF29Pt7uaAY7MxGAxmzEBlbKFQOGzKEPjFyszMvOn9mnykUqm3tzcUhWIYBnbb2I18 - agLPxWQydXR0hIaG+vn5EYMJw7GMhBkr8I77+PhMdkcwGAxmSoBjszEYDGYMgGSi1+spilKpVCKR - aCQBEsuWmGkBRVE6nU4mk8nlch6PR1GU3W6XSCS4UDlmHICOBqcsxmCmLHhzctPA6yAGg8GMAVQf - W6lUCoVCD79Vt+fPGMuyDMMgBS62i05xWJYViUR2u12v10NEPU3TVqsVO/1ixgdJkljGxmCmMna7 - HYcF3Ryw0zgGg8GMGbFYPNldmKK4RXndnrqGaQc5CMuycrlcLpdPdo8wGAwGc0Po7+8PCAjA25ib - AHYax2AwmDGDfa4wN5RxT7Drn5loV4BnOAaDwdx6GI1GuVyOymVjbhxYzMZgMOMHZbfCO3IMZgIZ - n7TsOTOfB27bGu8YDAaDwdwgcPzMLQhWnWBuGmazua+vD1dswmAmEJZl7XY7REqP/hSCIEwmk8Fg - oGl6rL8CPB4Py9gYDObmg7esNx885jeNKS1mc/PoYMbEtB43txRKmKkJ2LGNRqNWq7Xb7TjTFQYz - UTAM09bWptfrR38KCMkKhUKlUnnOzIfBYDBTBEi4iNNx3WTwD8RNY0qnQDObzQKBQCqV4gkxJqxW - K4/HE4vFyG8Q5J/pMoxWq9VmsykUCpyeYSoD00mtVqvV6mEPmC7Ry9Pr7cDcDpAkKRaLBYIx/0Dj - ElwYDGZaADsEiqL6+/uDg4OxchBzSzJFY7Ph9dNoNGKxWKlU4uIQowSeZllZmUKhiIyMRMuWw+Fg - GEYkEk3NhAcURZEkifaUHf+PvfMOj6O8E/+UnZntVauyq1WzLMu2bGFjsMEYTEzoPXQIKSTH5eAu - cJCQhJADAglPjnCXHA9JLiQkgQSSEGpoMQmYYoNtXLCxXCSrd2l7nfr743ue37IrrVfSrLQrfT9/ - +JFnZ95555133vf91revLxAI1NTU2Gw2AuWf4iaHjKqV+Kp2Dw17QiqV0ul08DkkEgmKonJsf50P - mDUKQRAEQZDSAi0NBaVIxVd43xUVFXa7HWXs/IEcNjRNG41GEEvg+4nH49ML2Cs0UJ9gMJhIJIhj - 9ff5fCtXrrTb7ZiSp/jJ8Y78fn8ikZhJl4Nrw+FwNBrV1qmsv78/EAhAmaFQaOblp1KpcDgciUSm - FE8775FlGb0BpwcGziAIshDAgW5uwXVLgZAkSVGUonYaLxWn02KjtbVV/Rsa0OFwzF11jo/BYGAY - Rv0vqtbmAZIk7d+/v7q6ur6+foavEsJGtOoPMKqIogiplQmCqKysnHmBqVRqZGQkkUigF0Y60WiU - JEmTyYTa0qmCLYYgyEIA50qtmKrQBOcHg0GXy4VO+5rj9/vtdntRi9n4yqfHhFuzFKfOQk3bk30Q - KXV8Pp/D4ZiJtAA9wWQyaVep/yuzqalJPTLDTwN8Rmw2G8uyY2NjxRmXMfuocXc0TaOxAkEQBEEK - SiQSMZvN+S+6YOVTU1NTyEotXGB/zSKNzUYWFGi+RnJQiO6h+S7B2IeLh+JUKSLILIODEoIsHBRF - 6erq8ng8U00ejDNmQUExG0GQgqC5KFvkYBa0bGZ/oa8oSiKR0Ol0mHMbWeBEIhGWZWeY3BFBEGSB - oK3GAUrD6C8EQQoCRVELanlHHmOuK1JEzH6DiKLo9/thI/fZvC+CFBvj4+PRaFRRFPwWEGQhgF/6 - TAAdvYZJW2Hxg9ZsRAPQ5wRBEARBEARBkJJDluXe3t7KykptPYBQzEZmiiAIkiSxLIupcREEmXNQ - 64cgBH4ICDLvSKVSBEFgJEghUBSF53nN2xblImT6gI4mmUwGg8FUKqW5ygZ1QAiCTBVcfyAIgR8C - gswjYD0cCoXC4bAsy7g81hySJDmO03zYRGs2MlNUa7a2cZiCIAiCwHEc7pCEIAiCIAiCLEzAOQWt - 2SUHitlI0QGjSSQSCYfDTqdTr9fjgIIgyEJAURRZlhda+kAEQRAEmX+gmI1oAMaA5Qk2FIIgOUil - UtFo1GQyFcJ7DUEQBCldcA1Zciy42GywFcx1LeYbhfjs5982JIIgRKNRQRDmuiIIghQpMEPNs6EP - QRAEmTkoY5ccurmuwGwTj8clSTKZTBjxW+TMp9EEFJAgZtM0rdPp5tPTIQiiFXq9Xq/Xz3UtEARB - EASZKaXtNA6Vz1NiAVFnfHxcURSbzYaiDoIgCFJsTGleQxAEQRCkOClta3YikZAkyWg05mOahlUL - wzAcxzEMU/jaIUgmqlYL19AIgkwIDg4IgiAIMg8o1dhsEFdSqVQymZQkKX+bfCQS4Xm+kFWbPQoR - vTz/IqKLCtjzLJFI8DyP7YwgCIIgCIIg85LSdhpf4CQSCZIkWZalKM3UJZFIBAz+aFEpBBC50N/f - bzKZrFarhi8OQRAEQWYC7ieHIAiiIbO6yi8G0+v8sNbCIwwMDIyOjoqiqMkTQSHRaDSZTM6DJipO - YO3i9XrtdjvK2Mi8R1EUnudxcwcEKQkEQfD7/fjBIgiCaMLsxWYLgsDzPMuyGsZFT0PhWuo62vTs - OBzHmc1mhmE0eSgopKqqauZFYQqf3ODOh8gCIZFIjIyMOJ1Oi8WCfR5BihxVL4YGbQRBkJkzIzE7 - T2kKTovH4+Fw2OVyFSjFd47KwMxBkmQOiXQmkuHsSE2yLPM8T9M0RVEwBVZXV2t+F00k5FQqRRCE - tt7sU6WYRf3irBWCaIi6iV08HrdYLARqlxCk6OE4zuv1znUtEARB5gkzis0Gz6J8RCme54eGhhwO - B6y3CIJIpVIURWklcsuyHI1GGYbR6/UZBYJoeuTIEYZhvF7vhFuSKoqSSCQURWFZVqfTQfUYhslz - b21wRIfsVjN/lgnLJ0lybGysvb3darUyDFNZWWmxWKD9c9x31kRNdQGtVlWWZbvdrpWlfXpVIlCg - RRAEQZC8QXVYBriWQBBk2kzf2MjzfHd39+DgYD6JuyVJ8vv9EPQLY1YoFIrH41rFAIuiODQ0NDo6 - KgiCWib8EYvFotFoIBAIBAKJRGLCoCNRFAOBQEdHRzAYVBRFEITu7u5IJJJn9Xie9/v9wWBQEARN - HicDWZahSkePHv3www9feeWVgYEBaMloNJrjptFoNJVKzUKgNeRNUadnp9NZVlZWUBlbluXcGeYj - kcjo6CjY1RGtmFJWfwRRR4a5rgiCIHlRUHmyFIeCVCo15zuDzI+kQgiyAKHvvffeqV4D0tT4+Pjz - zz8/NDTk9XqNRiORc3QGA6zJZIJz1C2stbJm0zRttVo5jtPpdOkxReQxfD6fwWAAe3V20BFFUVar - NRQK6fV6o9Eoy3IgEDCZTGD6zlFDGPgOHz7c2dkpCILNZoPIc20nqv7+/lAoRFHU6tWrXS6X1+v1 - +XwURcXj8VAoBNuAZ9wR3lEgECAIYhbSho+MjASDQWh/Iq3Zp1FUnprjZDIZj8dpms72OIAS3n77 - 7X379rndbqvVmk+ByHFRFCUQCEDwxVzXBSkNcgwF0zOaoakNQQpNMpmkaboQH1o8HicIolQCv1Xv - PEmSjrsanAVKotEQBEln+rHZBoNh9erVLMvmOfrIspy+5DKZTNO+9YQwDJOx+ocbQfVkWXa5XJNV - kiRJRVEWL14MJ7As29zcnM9NYRROJpM1NTXV1dUsy870MbLKJwiis7PTaDQuW7aM4zjIJESSZDgc - jsfjbrc720+eOPbslZWV2tZnQiRJ2rFjhyAI69ev5ziOmNlkALuUcRw3WTACtDlY+FV3/ezT/H4/ - dE5cl2uFoihgzcYmRWYIz/OiKHIcl64mU+eIOaxYDmbY7fGrQUqFzs7O+vr6CSPspo1qnoFoMg1L - Lhyqd95MLAeaEI/HeZ63Wq15RjIiCFIkzCg2e4Zpw6Z9bY4yswtMDxvOfcf0Rd40FnyFXkWp4qWi - KKoyOPdNCx1TBHfneb6zs9PhcJSVlc1kKoLShoeHaZpW/QKmXRS8Qdw0C8kHQRAgueBcV2T+A5/5 - 0NCQ3+/3eDw2mw1GDJ7nw+Gw2WzOvbgHO9vsL9MVRUkmkzqdbtq3LvUIT1QT5E+B2mrWXsFHH320 - bNkyg8EwC/fSnHnWUSHre1tb28jIyJo1awwGA85TCFJCTN+arSiKKIpq1usJT1D/Vk9QR0AwIGs7 - IE5YVLoDee7L0wcv9e981kb5p4JTzxcEQafT5Z9iTf0jo2Lq37mfvUCoxv+mpqaZ3wtKqKioyOdk - 9fEne/BCdDCk1EWFyQiFQgaDQXMXG2RC1JSTMJqp+rW+vr5FixblCHKRZXnPnj0VFRW1tbWzvNaU - Zdnv91sslmkEOqVSKZ1Ol0wmKYqa0PkoG9gdI/85otDAK9PpdLk9tubr+FAMzGZo7urVq4ljAp62 - Jc/OjDz7837h7gjFlpWVEQRRoG165iXQe3EFiMw50xezc4RoZs8HGTJh7pOLkNyVTLeW54Moin6/ - 32q1Qkz7DGsCRzRpxmmPR5NtswlW5SkFYkmSROQRu3XcAtGUXQjm64yFpuzZhCRJp9NZWVkJMieo - w5LJJJE2lk6muh0aGuI4rqamZpbXTzRNV1VVTcNbBzIamEymgYEBjuM8Hk8+uSEFQQgGgxaLxWAw - FMNHB1lCLRZL7spHo1GapvV6/UL+miRJisfjHMdpG0QWjUZFUbTZbLPQtrIsp1KpaDTqcrm0VfRo - 2JnBsy+7etFoVJZli8UyPzohtJjb7Xa73XNdl8ICQ7omA7ssy6FQyGq1JpNJtP8vBCApcnHqoWbk - NJ6DRCKhJnmGoVCn00ESb5qmWZaFkGaQwSDZxmw2kCRJEwbbZDhmEwSRSqUkSYKNviYUI6HyqnAI - x+EPWZYnTGxOHNtmLH9rNkmSoijC7t8kSdI0LYoi/MQwjCiKkE9OvbtaEzhtQtIfE0Y3zXOD8zwf - iURMJlM+adjgMYPBIEVRZrMZR0YEmccskBRoiqLE43GGYcLhsE6ns1gs+Qz7kiQlk0lIOFISzwvv - ZXR0lCTJGYb8lDqRSKSnp0eVi2b++qBtjx49GgqFli5dCmlctahprtsNDg729fW1tLTk6X8x+0Qi - kQy9A6wnP/nkk3A4fMIJJ8xmzSGBLqxsC1E+6CLn8aJIlmWe5zmOg9FyhuOeJEn9/f2VlZX9/f0e - jwdyBiEFZW5dmZLJZCQSsdvtRShpT9+aPRmKokQikb/97W8HDx4EaVaWZZvNZrPZYOMru91eW1tL - 0/ShQ4eCwaDZbDaZTAaDob6+nmEYDXf5ykEgEOA4LttQABNMNBqVJMlkMlEU1dHRMTo6Wl9fX1FR - YTAYZFlmGCaRSOj1elgJlZeX63Q62Cna4XCA4A0vu7e3NxqNzry2UKuRkZHu7m6dTgfNFQqFJEkS - RbGioiIQCFRUVEANk8mk0+m02+0URcE+Z5DbMxtIqmEymaBfyrLc2Njo8/k0XCGBNXsal5SEjwMy - b0Bn11km+wOHgeK45uKSy7lAkiQEI4DbZ57QNF1sIQy5FRyqzW0Wa1SksCzrdDohsFmTIQUK8Xq9 - syMwwO2qqqqqqqoKfa/8gcVkepiJuqlneigiQRBWq3U2lXFwr61btzY3N9fU1BQoymMafjSlhSAI - Y2NjFRUV4+PjNptthsISTdPg8eT1eheyym82SSQSBEHMlftVMQsOGovZELD9wgsvPPjgg0eOHFGP - kyTJcZwgCGAZttlsiqJEo1FwFIRduJYtWybL8sjICIyn2lYsg1QqBQb2CX+FFNbg8RWJRBKJhNVq - 1ev1ZrNZFEUQcW02WyqVikQizc3NLMsePnxYURSfzwc24cbGRqPR+O67746MjEx4i6lOAyRJJhKJ - WCwGjcNxnCiKYKk2mUw8z0Nkqc1mC4fD9fX1dXV1oA7Yu3ev3++fsED1dUBNJEk655xz7rjjjpaW - Fq0UQhzH5b/wgjs6HI6Z3xdBpsT8XsEUIdkNnqfkXCSxylNiwjQl+VxVVN0yz5DyPM+cx3AcVwgB - dZYtcsXzKlUzg8FgsFqtakCZzWbLOBOOG41Gj8czywN6QMAkAAAgAElEQVRFNBrleX6qIXKICkVR - YNmqqanRpEDoNprv/jP/gDwgM3HEgKYOh8M0TcMmQbP/CYBaE9KUFtsHqKXTONgZenp6vvSlL33w - wQdEWsiuKIrwq06nE0URdJDg5yxJEigh4GD+sRnZp6lH0v8gJpoq4C7pP6WnGVMVh4qi6HQ6qLPq - pK1eDqepRhi1BNVjfKovWw1TnPC4mg4nQ30rSRIYrtWapD+OelrGKlZ9NarBmaIoSZJuvvnmu+66 - q7a2dsJ2mwZTna2LZ3ZHEARBkKlSoFms2DQvswOsVXbv3u1wOLxer7qMnsyPuqenp7y8XNvdyI7L - wnw1MwcsbelBhdiSswzP8+Pj42VlZUUooOYJ9JmOjo5EItHY2JhPjOqEFGhLUS2t2fCobW1t4+Pj - qlzqcDjAjq3T6dSHJ0kylUqRafHYJEnG4/FEIpGnj7HRaIRY5fS7syzLMAxEU6tHwLNIPcdsNsPd - IabaYDDodDqw64qiSNN0KpWC2GCQ/2VZhvRIsVgsmUyCXA1vQhAE4tO5H+GO4LMUiUTghDybzmg0 - ms3meDwOdyHSJmlZlkVRVF27VamYZVmWZWGykSSJ53nVh4qiKJic4NnhePodaZpWxXX4G6TuV155 - 5YILLqitrdWqq6n1ybPAEv3OEQRBEIQo2Cw2k2JLV38NgvSqVasyjk+2INbKHDolYPVYQsEsc46a - AoAgCHDtzrFQLOYEV6UOSZIMw8y86875CFNeXg5pqqZdQigUMplMmntAaB+bDakLoMUdDsdFF120 - dOnSsbExm81WVVUFEh1N08PDwwzDwKapcOTQoUNHjhwRRTH3ewJRs6mpKRKJDA8Pq69WEAS3211R - UdHV1ZVIJMDA63a7BUGAzJMURQmCsHz5cr1ePzQ0BIkoa2pqzGZzMBiMxWKQrKu3t9dsNjc3NyeT - yWQyyfO82WzmOG7Pnj1dXV1GozEajYJ8Ozg4SJKky+WCAQKM9i6Xa82aNYIg7Nq1KxwO59Pn4MLF - ixcvW7ass7Ozq6sL3MPUrj8+Pp5IJEwmE1RVFEVFUSDdbnl5OSgsotFoR0eHIAggbEMmCb1eHw6H - KYqKRCLxeDxDhaEK84IgjIyMhEIhyFGnrdN+MplMJBIWiwW9dxYmcz74FieqkgtbBkGQQhOJRBRF - Kd382/nPI3NiDsUA4OkB5i54Xzl6Js/z4XDY5XKhT77mMAwzpbwhkzGH7wVubbFYpl0CDBqRSKQQ - XjAFSYFGEARYU1esWHHLLbeceOKJ8+PDCIfDVqtVFbPb29tZlq2srCwqAVIQBDBQR6NRjuPGxsb0 - ev3o6Oj4+PiEAQI0TQeDwR/84Ac7duxQk8NrghoCoGGZSGkBbh0cx2GqzwySyWQ8HgcV3lzXBUGQ - eQvYGIaGhgRBaGxs1MRyNfvkv4acH6vNeQ+8pjxdD0ArXYiN3BEip2aqhHz4J4siyYcp9capor2Y - DUClFy9e7HQ6wdyaIXFlhBATU0ylOKFqM/vghPHbxKdDqXMUlV5PkiSNRqMgCEajUZZlQRAWLVpE - EIQkSenO4dM2UmVfmFGH7MfJkGDTXc1FUTSZTLIsQy4Wh8ORuz7PP//8/v37U6mUhlIx3NFkMhVb - ylxk1gAxmyTJwu10UnKo+UIGBwd9Ph8o6bBxEAQpBDC2+Hw+giCKyiSAIHmKRgaDAXJcIYUgh4wd - jUYhNd0sV2kaZEtP05DCCrESK5SYDUB4Nqywj/uepiTgTWYmPa75NF1szpZasw+m/6qeACnKRVGk - KCo7XXm2BiHPJ5rqVROK3Ol6BJ1OB47iOTLdwxiXHbytFdnKAmThQFGU1+ud61oUF/AhVFRUlJeX - 40eBIEhBgUEGpRSkCMnfIlVCZtV5gyzLY2Nj4L1bWo0fj8d1Ot1Uq12gZyysmK3m68ozRHlKhU92 - fv73yjhzwoPpv2ackDsaZ3ovbNqvWb0w4w9ITp5jc4tCB4iW1seJaA7GZmsILjUQBJkGOHQgJQ32 - 3tmHpum6urpSbHm/32+1WoljVt65rUxhPQEikUh6MnCkJMBoakRDMMvXZEyjWRKJBI6oCIJMFRyE - EQSZKiU6bvh8PqvVGggE8t/vqXAUVszObR9GEATRlvmtJAoEArDRKIIgCIIgSEkwm2uz9FxXc74m - LKzTuNlsho2dUdIuFWAL7hm+MvQTRuaQeTPgZD8IBrojCIIgCFJaRKNRvV4/O1vfgYBdUVFRDKvB - wlqzQ6GQtntEIYUD+mUqlerr64tGozPRAMXj8WJw1UAWGoqi+P3+RCIx1xXRAFmWRVHM2Ot+zvWy - CIIgCIIgeQLrFr/fn0wmZ9mmHYlE5lwYKZSYDU0Zi8VgN68C3QXRCp1OR5KkTqeLxWLt7e2BQACc - LqZRlKIowWAQ1SvI7CMIwieffDIyMlLS4ihUfnR09PDhw+FwOHsfRARBEARBkOIH1i21tbUWi2XW - 1jBgxw4EAjzPz+2CsLBO493d3X6/H5eGRY6iKFarlWEYSZIMBoPX67VarTPJeY6urcjMgVxfDMNM - qStWVFTM5lBeCGBKGBoaamtrMxgMkDBTfSJJkgRBYBgmx/YBCIIgCIIgRYKG/tv5xKWqsr0md5wJ - Bd83O8PpESlOYGNtWZaNRmNDQ8NMxGxi1j8nZJ4B/ScWi0mSBAqgPDsAy7JLliwpdPUKDUVRBEG0 - tra2trZm/xqLxYaGhsrLy202G34XCIIgCIIUORouV+LxuCzLJpMJFks5mLex2SXtsbkAIUnS7/fz - PE+SZDAYPHLkSCgUmrbTOKHp55RMJvNx+VA+jVZ3R+YQg8FgMpkgnCH/q0BhVLhazRqg9kp/FvVv - nU4Hs8v8eFIEWWjgPIUgCDJVYNhMJBKJRCKfUXTOZWyiQNbsYngwZEoYjUaapkmSlCSJ53lRFOdc - CQQVCIVCDMPY7fYcLrLZX9qcVx6ZCfDu9Hr9NK49rnYzg4zOUzzdJnu/cfiv1WoFN3IEQUoUSAXE - cRyGfiD5o85Ws+YtOJkYUzwTJbKggI5XVlY21xWZAlqK2erzG41GAi0tJQK8pvr6erPZHAgELBZL - RUWFyWTKXuXPMnD3ysrK3KeBQiuZTAqCEAwG9Xq9wWDQ6/VgBS3yyQDVATkodOOoA5QsyxDbAkve - In8j6RtCznVdEASZGmpEjCzL4JaCHzKSJ7Isq1sBz7zbpBsDc5QmiqJ6PpxZEhMlMo+BrjtVm8pc - obGYTZLkypUrvV7v3r17IYMRUhLY7XbY4ZxhGIiGnesa/R+5pxNFUQRBGBgYeO+99wYGBg4ePOh2 - u8vLy1etWrVq1SqbzUYU92QgyzIusyaj0DI2dJ5IJDIwMBAKhQiC8Hq9lZWVer2+mIXYYq4bgiC5 - KUVrDFIkHDlyhKZph8NhsVjUlCXTng4UReno6JAkqa6ujuO4CcsZHx8/fPhwMpkkCEKSJJIkKYoq - Kyurq6szm82lIucg84zSWgVp7zQ+NjYWi8XQTFdadHd3R6NRnU4XCAT27t27adOm8vJyGFLhhAmd - i9IP5nZemEne8sl+UhRFFMW9e/f+6le/evnllwcHB9WfVq1a9bWvfe3CCy90u91F65UnSVJ/f7/d - bkcf4FkGZOyenp79+/fv2LFjy5Ytvb29BEGsWbPmkksu2bBhQ2VlZfFomhAEmWfkmdpTEISpJqdA - 5iWgkX/22Wfb2toaGhpOPfXU1atXOxwOne7/r+Hz7yewPh8dHb3//vuDweA3vvGNk08+OUPShlny - qaee+uUvfykIgiAIRqNRFMVEIlFfX3/jjTdefvnldrsdOyeC5EZLMRtmjv379w8MDIiiiJ9fSQAD - bnNzs81mGx8f5ziO4zgwsRbzG4Q54NChQ/fcc897770niiJY46HOH3/88X/+53/6/f7rr7/e4/EU - 24NAPXme/+STT5YsWYJi9mwCPWdgYODHP/7xn//855GREfWnzs7OLVu2XHHFFV/84heXL19uMBjm - sJ4IgsxXjjslwRzh9/utViv418xOxZCiRVGUM84449lnn/3LX/7icrluvvnmjRs3Llq0yOVy0TSd - Lm/nUxRBEJs3b/7HP/4xOjoqSdKjjz5aW1ur+tZB9xNF8eWXX+7r6zv77LMlSVq1atXY2FhbW9v+ - /fvvvvvuysrKs846i2VZ7JwIkgONxWySJFmWRU+SEgKGyGg0CgpLjuMcDoderw8EAhRFWa1WsAaH - w+FQKORyuUwmE1zI83wwGFQUxWKx0DTN8zx4MaRSKdWyDVleWJa1Wq0cx2lYbUVRBgcHH3vssd27 - d4uiKEmSGkFEEATLskePHv3JT37icDiuueYas9lcVDOBmuLr3HPPLaqKLQQURYnFYo8//vgLL7wA - wfySJEGPpShqfHz8scce6+rquv/++0844YQiVzYhCIIg8x6KohRFOe200+6999677777yJEjjz32 - 2LPPPnvGGWds3LixsrKypqbG4/Hk77sny/JLL73EMExjY+OOHTu2b99eVVWlph2F8G+CIMxms9ls - /u53v7ty5UrVBePBBx/8yU9+8sYbb5x00kkulwsD3xAkB9qnQPN4PCaTSZIk3DG7JIC3tmfPnkAg - oNPpYrHYtm3bzjrrrMOHD7Msu379eqfTSZLkhx9+uGXLlosuuujkk0+GS4aHh//+978nk8lTTz3V - brf39fXt3r07mUwODw8nEglVJ1pbW+t2uzdu3KjhNvGQCOSNN97YvHlzIBDI7mw8z7MsOzIy8uqr - r65du7alpYUoviBtmMkwvGI2gZ5z5MiRzZs3j4yMKIqSsV0cy7I0TW/btm3Pnj0rVqyYkokAQRBE - E2BSqKiomOuKIMUCSZKCIFxyySUvvPDCwMBAIBAYGRnZt2/fU089VVtbe/nll99yyy2wWstdDuSO - isVie/bsWbJkydVXX33vvfdu3rx548aNYCRTU6yFw+FPPvkkmUyOj48nEgm4lmGYyy+//Ne//nUw - GISlF9rVECQH2qdAq62thTRC8Xg8+5xgMMiyrMFgmEnaBhRLNARGyTPPPPPtt9+ORCIkST733HPP - P//8hCf/6Ec/Oq72hKIoKDPjzJUrV4bD4UAgANuGud3uZDKZSCTAkK4oCmQITyQSYELneT4SiQiC - oJagKIper4euBZfkCAiHDHwvvPDCiy++CNOG3W6XJAn2tU8/U1EUp9MJnTaRSEAMEiiPs3uaoig6 - nS4SiUSjUaPRODY2BnuMu1yuqqqq8fFxcMHK3UTpDWW1WsG+CrVKb7f0v1tbW9UKZEfI63S6cDg8 - OjrqdDodDsfo6OjIyEgqlYJfrVar2+1OJBIURYXD4Ugkkn6txWLx+XyKooRCIZPJNDY2FgwG1QoQ - x3oI/JFRefURqqqqDAZD/psLTNa2k33aiqLQNB2JRCiKMhqNPM+HQiG9Xm+xWERRHBsbs1gssCld - MBgcGhqiaZphGKfTCc4X69ev7+/vHxoaIkmyvr5++/bt7e3txEQJBaDbpFKp//iP//jpT38KD6jW - KiMZQbatO/0RSJKMRCI6nS59ZzJ4kMHBQUEQKioqdDqd0Wg86aSTysvLFUXZtWtXMBh0OBwEQSST - SbPZ3NfXF41GCYJobm5eunQpwzDKp3fS1ul07e3tAwMDPM9XVVWVlZXZ7XadTkfT9N69e3t6elpb - W6urqwmC2L9/v8Vi8Xg87e3tsiwLglBfX2+32+G1HjhwgGGY3t7eVCrl8/lkWW5oaLBYLNu3bz/n - nHMaGxtDoVBXV1dzc7PRaJQkyWKxtLe39/b2QvsIguDxeCwWSzweHx8fL0T+S9XAov5XlmWGYVau - XJkujaTnzk0mk/39/f39/U1NTbBqrKysBN+WI0eOpFKpmpoaq9UaDocFQbBYLARBBINBs9ns9/uH - hobMZnMoFIIZjSCIffv2KYqyaNGiRCLBsizHcQcOHCAIgqZpURRramrC4TBN0zCS+P3+WCym1+sb - GxtTqVQqlQKVDcuyLMvC+5VlGRSC6pgGrz4UCqWPIfDgFEXZbLZUKhWPx0GRDZtCURTFsiyMh/AH - XGs2m9VzcsyYNpttejvnzQ5utzuf0yBPB03TsOUEZDeEXSd4npckiaZplmXhFYiiGI/HU6kURVE6 - nU6SpDxH7GxMJtOUFjPwLpLJZDweNxgMalgKTEzwsiwWC7xTiqJgPjIYDDqdDoZoyE8OLxoSUxHH - xgGdTgdjvkplZeW0H22y+lMUlUwmJUmaxoPHYjGWZSHtBVQMvgUgn6qqo+5kcw2cIAgC1JOmaXj1 - giCkUimWZePxuNFohDqAmjUej6e7wqWTT/dTFCUSiZjNZkVRZFmmaToajarpwWAyhRdNURR0PIfD - IQgCz/McxyUSifxDLKEnn3nmmX/729/C4TA0QjAYDIVCe/fufeSRRzZu3HjDDTcsXbrUarXa7XZ1 - y5XsJnryySfj8fg//dM/XXDBBa+//vpbb73V2dmZ8bw0TXMcB8swtRye57dv355MJnNvs4ogCDDb - tpq33367trZ2+fLlaprEqQKrOtSfaQW8hVgsps40DMPA5McwDEibDMPwPA8bOcACGsQPo9Goihww - pcHUq07GyWTSYDDAlNbZ2Zk+NY6OjsJ/IRocDoqiyDAM6E3Tf4K1BSysJUmC46lUKsMUOSF6vZ6m - aZPJpNPpEomEw+FQFAW0sDRNqw7DfX198XgcdlgRBIEkyQlzb6rrWsgFIsuy2WwmCILn+Z6eHlAW - wOofJt30a2VZhhUtzK8QcQd3V/ctBx1EKpXiOE5dAup0uqNHj0IhUGE4OaNi0KpjY2PwggwGA8yC - iqKorU0QBFRYrRKIpgRBwI5oJEk6HA5oZAi7gkfmeT6ZTELcPtxdlTlFURwaGpqS90r2U0z2XBnP - mC7xhsNhiKxWFCUajVIURVGUJEnQaQVBGB0dDQQCBEH87//+LzSsJEkffPBBbgUN0NfX19/fTxAE - SOzQK0RRBGU/3IVhGFjGqVfBgkk9Al/NhAudZDIZDocZhpFlefv27WrrTVaxffv25ahtdkYltZ67 - d+9Wnx06GMuysixDY0LdMqq9f//+9MJfe+01s9kMwonVaoW7gOdLui4V+ga0EvFpcTdHzfOH47j0 - 2BCO4yBaxG63qwMI3FTtJ9DOsVjMZrPBkhokFuLYiGc0GlmWhWEEvlmQe3mej0ajHMfxPG82m6F8 - WNdaLBaQB0DvA5+5oijQRCRJwoCTSqWgk5x44omjo6PBYBD0UGVlZS6XS5Kk4eHhVCoVCoXsdntF - RUVPT08sFmttbaUoav/+/bFYLF3TJ8syx3ErVqwYHR3t6+urq6uLxWKg0dPpdHa7PRKJyLJss9ki - kQjIWg0NDfF4fHR0FLpWtqoIvuvm5uaampq50lynDyMT9vxLL730uIVQFCWK4ujoqN1u7+rqGh8f - T6VSfr+/rq6urq5uaGgomUzq9frKykqn00nT9NDQ0NGjR4eHh3U6ncPhCIVCyWRyGi2gKMrSpUsX - L14MX3GelzAM09HRceDAgcWLFy9atAi+u2AweOjQIVCWtbS0mM3myspKo9HY1tbm9/sXLVrkdrtF - UXznnXei0ajD4aioqBgfH+/v71e7h9FodDgcg4OD6c146623Dg0NaShpg6a7o6MjGAyCj1j+F7Is - u3379srKyqqqKoZh4H0tXrw4FotZLBa/3z8+Pn7cZoQwNL1eH41GJzwZbtTT0wN6QJvNZjQafT5f - X1/f4OBgWVlZd3f34sWLKyoq4LPt6elpb28PhUIZHQA65GWXXZa7PhRFpVKp3bt3t7a2gsRut9s/ - /vjjlpYWmH/feustSZKamppkWWZZtqOjo7+/f+PGjWNjY8PDw5WVld3d3bFYLM/uB083MjKSSCQy - 9K0EQYRCoZdeemnz5s1LlixpaWlZu3btypUrW1paMhKVwTrqD3/4g8PhWLFiBcdx//Zv//bFL37x - j3/846JFi8rKytTBk2VZl8vV0dHR3t5eXV0NC5Jt27bdf//9yWTy3HPPtdlsmKIPmQUURQE1WSmK - fpMqBaeBciyx03XXXffaa6/F4/H6+vqf/exnZ599NnFsmt+xY4fb7fb5fNMI54Dyx8bGGIYBja9W - NV/IQAf49re//Zvf/GZ8fFySpObm5ubmZpqmnU6n1WqVJAkmA47j7HZ7e3s72FWCweDJJ58Ma8dU - KtXW1maz2VauXAnBrhzH7du3r62trbW1taGhgSCIP/3pT6rkma6QTl8ZwwSgui2pnROsZzAZg7GC - JMnOzs7Dhw/DVkyTdWOTydTa2urxeE488cTq6updu3aBTdvv9wcCAZfLFQ6HU6mUx+MZGho6dOiQ - yWSyWCzDw8Mcx1VVVUFaNbU0EFcsFktDQwMIruBMBRUG1QPI8BUVFYIghMNhdSkAwkxzczNBEB0d - HU1NTStXrlR9kgcGBqxWq9ls1ul04+PjHR0dDQ0Nbrcb7P8ul+vFF18kCEK1b4C9PeM9qk1HkiQ0 - C2g9oG7QaFCfdAnZ5XKdccYZkiRBtm2ocygUqq+vb2pqgpmV4zho7cbGRoZhHA4HvHdYNY6MjOzY - sSMYDMJdjtvlKIqKRqOKoqheA6CUSaVSRqMR7DaT9dV0aSH9bzCqm83m8fFxWDTTNO1yucCe88or - r+j1elh/kyTp9/v9fn+OJR1Jklar1el0guEI7JMjIyOhUAhsj7FYDMp3u92qQQaaHU4gCIKm6YGB - AYqioJx0sVOSpI6ODr1eX15eHolEQBIgJu/GxPFS7qefA98OqLdisRhUPhKJBINBhmE4jvN6veFw - WBRFvV5vs9kYhgEnI71en2GNh1e8c+fOyd7CZMDqE3qOJoswmqarqqoikQh0bPgvJIyIxWKgzoB1 - IfgvJJNJMO6B/YcgCDBwgV0L5GGGYVSBmTiW1ZlhGNCqgF8lKP5AmgVpHIRwMI2Ca4zJZGIYRhRF - URTBdkqSpNFoBCE/EAhAPyc/vXEDNIuq7yOOeTqojww1J485cMLu7qp4rF4O/1XHTHh2uBEkRoKH - hSOgL4PPFs7neV5Va84yFEWB/gK+iGydKcMw6rOopAvkqjyQ7nGjOtpMqCRVb20wGCAdSWEeLheq - bheGqWyFFBxJf4oMZQT0MbXbqOdAS6o3am5u7urqyvAIg0LUFjvu55mtwoP6TyOgRv3cYOpZtGiR - 1+sdHh4uKysbGBjo7e1VFwOTvUGYfyF3TI7REvp8eiOrbU4c+97hu1PVdjBEQw3he1H3r1IdzSbs - USzLprsVwH1VfTGUr37FuZVK+TPh5aA2haeAE7xe70MPPXT55Zfr9Xp43VCNnp6ec84555RTTnnw - wQddLhfDMDfeeOP777//1FNPrV27Nl2VfNddd/3qV7+yWCxer5eiqEgk0tXVFQ6HTzjhhCeeeAIc - rFDMRgqNJEnd3d0ej0erFcVsor01O5FIpFKpyZxwTjrppBmWzzAMBkxqCAy7IOCBSHb22Wffeeed - Xq8XToAVXjgcBi1y/iWfd9556f+9+OKLtaw3QWzduvWOO+6AFGjZsw7Iby0tLQ899NBpp50GB6+/ - /vrJSpNleWBgwGg0ms1mUOVk7JYxt1x55ZXwBzzscVXIqVRqqtlHZ8IXv/jFKZ0PclH6plngxgwS - jsaVI4gvfelL4McLstZ99933+uuvq053GUAF1q1bd8cdd1RXV9M0XV5ertfrR0dHw+GwXq9nWRbE - bNBDpTcy+HSoz+X3+xmGMZlM2Q/V39+v1+sdDkc0GgXVgFYPCx+sXq8HMbu8vNxgMEQiETCegy89 - eCwbDAYwQWdUO4ODBw+mr+PT75KhhFJtawzDtLe3RyKRxsZGo9E48zwdFEVVVFREo1EIeYCXEovF - xsbGtm3bBmY9QRDq6urWrVtHUdS+ffu8Xm97e/v4+DhY4Pv7+w0GQ1NTU3d3NyhZKisrQU52OBwM - w/T19Tkcjrq6usrKSnitH3zwwdDQUE1NTSAQcLvdnZ2dgiAsWbLkyJEjPp+vrKzso48+ikajp556 - anV1dSgUGhwchD1mKYpat25dKpXav3//yy+/vHjxYsgXDa6kaiuRJDk8PByLxaqrq5PJpCiKDoeD - pmlwJg8Gg3AVyAOgTQCXHPD0TiaTwWCQ53mn06m6H5Mk+fHHH8Mq3+FwVFZWQjJLi8UCq/De3t5E - IlFdXW0wGERRbGtrGxwcTI+MmB3ALgqzjMFgCAQCYHdNr4bT6ezo6IBdJEC7ShCEKIomkwl8WVXX - KnCkAsUKx3HxeBy+O1V7Cw2udleDwVBXV6d6u8A54AUwJbEhHA5PY/tS0F5BZAc4t4MrNSjsFEUR - RTESicAR1feEIAjwitfpdH6/X6fTOZ1O0HGDY1QsFjMYDOPj48QxoRGylmbcGiSoRCIBzmj5iNmg - gcq9u2eeD06kyZxjY2PgbdHT00MQBJhPwDUAnOon9EmG1ssRtS7LMvQZnudpmjYajelpWYljJnGO - 40DbC0cgig2itwwGA8uykUgkkUiArocgiOz6gI9JTU1NR0cHz/MQgwCucOqkoKEdSy0wGAzG4/EJ - S4aD0J3gxfX09EAPUU8gSfKPf/yj3+9fuXIlaAMVRfnqV7+6ZcuWp59+GvT76rtWs9xDvluKohYt - WjQ2Nnb48OFnnnnm9ttvV63fCFI4aJqur68v0Z6m8Soc9Pc5XHlhOp9eY8FVNpttRlVEPo1ybEMv - q9U6OjoKSlxJkkAFDprgWCz20Ucfeb3e+vr6yaSg7GDs7BNmWNX06GVYyJ511lm9vb2QyypjxU8Q - hMvluvTSS5csWaI+S3o9M/6gKArWfIqiVFVVEcd06vnUZ3o/TUn2UItSddK5528QmfK5hSapCqf6 - cmEVknHr/Os8GRnNrhbl9XoVRXG5XGpvf++99yAkL6MZQXq02Wznn3/+unXr1Lz6iqJ4vV4Ick43 - H2V0Elgoq0cg1HbCjuTxeIhjsfEgAk37qSdDtaBMzYIAACAASURBVJ+ASKPG3cEqk0gzmmVUOwNw - wZgqjY2N06z3JMB7URsK/uv1etW0BemsWLGCIIgzzjhjJndcvHhx7hPAUSsHl19++Z133qlGBWcD - b2facyIxkXMBrPjBpJadYyLjGwHzu+bCQD6AWEIc8/rOrgZN0x988EEwGPR6vX6/H7xUAoFAS0uL - 1+vdvXv30NCQoihGo9FisdTW1kImzvLy8o6ODrvdvmTJEpA2M9oHjnAcp8axQ1DS6OgoQRCQ2iCf - BgGNxpEjR6axfakkSWazGebc2tra6upqjuOcTif4TcTj8f3795eVlVmtVhi1iGPzV09Pj9PpfOut - t+x2+6mnngoBO16vl2GY7u5ul8v1/vvvEwQBIQwjIyPZiUggkce+fftWrVqVz/YfiqL09PR4PJ50 - NdxUNQsZV4EReOvWrZIkhcPheDwOL2LDhg1VVVXxeHzPnj0rV66ccEvFdPP+hL/yPN/f319fXz8w - MGAwGFasWDE0NKQ6wREEIQhCdXV1VVXVxx9/DPdVFGXTpk0DAwOHDh3ieb6urs7lcu3bt2/v3r2g - rRNFceXKlelbb4Jer7KysrGx8aWXXorH4xaLBaI/jEaj3W5XH5nI8lOYtlkb+v/DDz+sRuukDx06 - nc5isZhMJpfL5fF4ID3tV7/61QyncUEQPvzwQ57nGxoa+vv7IRKKIAiKol544YULL7zwzDPPVD0d - mpubWZa95557rrnmGtUN4ZVXXvnhD3/4+OOPn3766Zs2bUKDNqIh0LdV/wuV0u1jGovZoEG3WCyg - 1cs+Yeay1vTGdyQ3GTIqLIDUEdxisaxZswZ0mTnsqIXOh6GWrzra/eu//uvw8DDszAQqf3XN6nQ6 - r7vuuquuugq0rel1Ti8nvUDl2K5Oqukgz/pM76fpNVeeloT8P5M5yWKS/RTTtpCkk/Es6n9BuweB - /TRNX3nllZ2dnW+88Ybf78+QtEEyWbZs2apVqyD8QY2ZB2k53RRJZiWJyGh2tUdlVxWWv9DxMszC - mpBeT9WfVtU0qedkm6mzmV5sZw5N0/RQnyjjv+mtl/6wcET5tEutepD49GI3/YR0NU2OllGDRNJv - ml4TKBPCKyb0uFErrLZwxjicrTpU0lxPiUlEDlWkhw6fcQ7cUa0P+MlP+ICzQLqUm10NRVFyKErO - Pffc7IOgKq2vryeOZ0iUJEk1IAM+n++4V2Wwdu3atWvX5n9+btRb6/X6008/PeMgsGTJEkVRrrrq - KuJYZ7Db7XAO/HTJJZdoVZ9Cc9111xETzQKzA0mS6Ro6RVFqamrWrVun/rp69ep8ylEU5Rvf+EZB - qvhpSJKMx+OvvPIKkTZ0w4QFCc/cbve6des2bdrU3Nzs8/nUcSB93KMo6tChQ4cOHUokEj/+8Y+j - 0ShoryDGLRQKPf300ytXriwvLwfbQ19fnyiKoN8EcZokSfCtu/3223fu3HnKKadACCcuy5EZAgNa - d3e3oigNDQ2l6B8+Idr7lEYiEVU3WQjmR7sXG8dtVTX1UZEAUpPb7b7zzjuj0ei7774L2b8VRWEY - pqys7Jprrrn11ltra2vztBSln1ZUT5pBnnUr5kcgJqpeQSushqqCWLts2bJHHnnkgQceePbZZ4eH - h1WFIASLejyeG2+8Ud3Na0q9IuOcHJeky7qzo+nIcZfcjza96s2a+mbCG2ly96kq2ibzcQWv5pnc - aEqoovhk9UnvcnNix1YB19bJbHrksYj0jLhr0HzBT3BmegyzWlpuFU9G0n712ikNRMqxaN7pkRFG - nn7r9CQm2ZUURTEajer1ejW4Pf2nbHeeyW6dXiwx67NG+hgIf6iNOVVvr9x3yS4qQxlHEIROp1N7 - VHacPzFJY8K7y46RBI2bhsInROL87W9/+8Y3vhEMBmHvA5qmHQ6HzWbbuHHjtdde6/V61QAl1YUq - /SuAZ3nhhReGh4dra2sTiQRN05AjnabpsrKyw4cPb9mypa+vT/XJHxsbkyTpnXfeOeOMMyDlJzwR - 7NeAmcYRzQElaXHK2NOz8mrvNJ5hXkBKAjUmNsfcVmx+BDAFNjU1/exnP3v++eeff/75bdu2jY2N - VVVV3X777ddff73D4ZjqsgmZ95AkKUmSw+H4zne+43A4nnzyye7ublEUrVYreADecMMNkEOVKHpt - BVL8zH4XypbZsn+d7L+zT+7apmfyhz/S/zvDVf7MmyLbn2WqTPYIkx0nj+1jt2/fvoqKioaGBtW/ - DP5NdwrIX7kDqsY5zxqd3pgainB5NnJGj8rub5OVn90HUqnU+Ph4eXn5ZE0aiUQgfUZ+T/B/EvJb - b71ltVobGxuvueYaiJpZuXLlokWL1HgrNaXihN2SJEme5w8dOqTX63/wgx+cf/75aui1oiipVOrO - O+/8wx/+8OqrrzY2NkLAFEg7akwH5EXr7e3duXMnZHwoRC4VZGECXbFoN5hUFAVyRkw5RlLbepAk - CWmBGIaZkxyeyFSBnl1fX2+xWHLoR+Z8NTYh4OBtMpm+8IUvtLa23nrrrX6/f8mSJaeddhqY34uz - 2sjcAlmmnE7nPffcEw6Hf/vb346NjZ100knf/e53TznlFNXMhZ0HQRCVIlE0Qx2cTueEuRWnCjyU - 3+8nSdLlcqH3ryZQFJUdXAoox9K/5RDCJyyQIIjvfve7t9xyS0VFRXo6D+XYpvG5vWZAUN+xY8c/ - /vGPc84557TTToN5UP2VZdn77rvvvffe++Mf/3jFFVc0NzeTJOn1enU63eDg4M6dOyHCQqfT/epX - v/r73/9+8sknn3/++RaLhcC5EtGOaQyzyWRyFvb6gm0jYQeiKdVQe6dxNGWXFiCLms1mVa+ZTvHL - G+SxPVGWLl1aV1e3b9++3BGVCEIQBATEwtoCliannnrq8uXLCYIQRXHOrToIghQbgiDM3IQ+c2Bo - mtK21cCEszn8F1J2oYytFQzDZOR4V1ENG9MotqysrKysDKzWcAS8uPO3ij/99NM8z2/cuNFisaQb - vcFi4XQ6r7jiiqeeempsbAys4k1NTTabbcuWLVu2bFELMZvN69evf/jhh2tra4niXh8iJcc0utPA - wIDH4ym0GZxhmLq6umlcqL2YXWiNgpK22TJxPJcz5LiAjnPnzp1DQ0MZMXvgYsTz/JS8m2Yf8ljO - NjU95sx9+ZB5T7azg7pewfEEQZAM+vr6zGaz2+0uhvFhGhrwRCIBqeayr8on5TgyJXLr+qdtCcgn - 10MOnE7nxo0bN2zYYDAYJlS43HnnnSeccEJLSwukJlm6dOlVV10VCoXUu+t0us9+9rNr164F1Uwx - fAuIysK0MNXW1s7Ogr8oYrNnAVEUYdNXyHSi0+nUmWMBdi+tUDNbZJBKpWKxGDRykTcvauKRmUBR - FKpmEATJpggXr1OqDyjTjxw54nA4vF4vzpWzQO4Wnl77z2SVC7Pb9773PUmScni9six7wQUXqL9W - VVXdf//92aelp+5DigdBEBbg/mrpWqeCjtXTK7mUxGxovn379m3bti0Wi0EGLIvF4vF4mpqaqqur - IfnhXFeziEjf4Oq4Z2YcgUusVmv6XpEIgiBFSBEKQsi8AbpWQ0PDXFdkmkD9y8vLwYaJX8qCBRzF - cyiUIcdeeur+7M0ISZIsfrvLAkRRlJGRkfLy8vR9ChcUkiTF43FNklZoiPZiNnyT6o4amn+Kv/zl - L5999lmapnU6HexDIEnS0qVLr7322osvvtjtdmt7u5KG5/lkMmkwGKb01aW/MhhkYVzGURVBkCJE - luVoNMpxHPq+IoUDfOhKcR6EOldVVc11RZA55rgdmPz0Nl1TCvxG5hyTyTTnySPmEEEQ/H4/y7JF - tRLQXsweHR0Nh8OQoaGsrAw25dNwZkokEgaD4aabbjrhhBMgScOuXbv+9Kc/fe9737NYLJ/73Ocy - Oln23Y9bH1VNQEwyKuUIi1Kvzb4wPaq80LO1uoVmKpXiOC6fR87+G6KA4vG4LMtms7n4R9viT9iG - IIjmCIJw6NAhj8fj9Xrnui7HJ5lM0jRd/MMpkkFRWUimAc6PGlKi7jOlWGckT0iSdDgcc12LuUSv - 10NavqJCSzEbXE3a2tqGh4chB1VLS0tlZaW24xHkAT7xxBMvuOACOHLRRReZTKb/+Z//2bFjx4YN - G+CO8BNs9KdmBwUZGLb+U3OnZRhvFUUBIzBsJQ1mc3V+hRNEUSSOxTOnlyDLMmwwSBCEmpQr3fcG - LiQIIjvaOV22z6hYxk9EHvM9XGsymWDzw+OeGQqFYAM2mqYtFgtYv+EneITiX2GkUime5xVFYRhm - IevzkOmB+yOULhzHnXjiiSWxgpRleXR0FIJxSqLCyLwB+5uG4IYUSBFSotofDSnCFihIpvF0sbNA - i1cQaEFm1ul0y5Yts9lsBw8eHBkZqaioiMVig4ODer0+Ho93d3f7fL6GhgaO4wRBCIfDXV1doiiy - LGuz2SorK41GY7ok3NfXt3v3bkgWYjQay8rKVq1a5Xa7YcODaDQ6NDTU3t5O07TT6XS73RUVFRzH - kSQpSVJ/f/+hQ4eGh4clSbLb7S0tLdXV1SCy+v3+AwcO9Pb2gpC/dOnS5uZmo9EIj6Moit/vHxkZ - SaVSBEFYLJb0ihEEoWouxsbGOI7zeDwGg+G4rZSP9hrk+Z07d46Pj/M873a7V69eXV5erl4I+yIW - M/CYR48e7e/vj8fjjY2NZWVlJerdhyDINCiV752iKJ/PN9e1QBBkRvT393s8ngUbBIsUJyUxCRaU - ImwB7cXsyUyymqPu3iTLcltbWywWq62ttdvtFEXt2rXrhz/8oc1mi0ajBw4cOO+88+68806Px7N9 - +/bXX3/9zTffTCQSNE17PJ5rr7323HPPdblcJEkqirJv374f/vCHu3btAmVBIpGgKOpb3/rW9ddf - b7FYRkdHX3zxxT//+c8HDx5kGEav17e0tHzta19bu3atXq/v7e29//773333XVAuxGKx008//a67 - 7lq5cmU4HP7Nb37z29/+NhQKsSwbDAZbWlruvvvuDRs2cBwny3JXV9czzzzzxhtvxONxQRC8Xm96 - xSKRyI9//ON4PG4wGLZt27Z48eJvfvOby5YtI7TLZilJkhpOn5F1vPg9zUB9NTw8HIvFCIKorKy0 - WCzFXGGkSBAEIR6PS5JkMBiMRiM6QZQu+L0jCDJr9Pf3u91uFLMRBMlNKWUaByiKEgShu7u7vb0d - ZOyurq6//OUvBEGcd955Pp9PUZRAINDb2/vuu++uWbPmuuuuO/PMM8vKyj744INvfvObIyMjp59+ - ekNDgyzLb7311r333hsOh2+44QabzTY0NPT973//448//vrXv37ppZcaDIadO3c+8MADL7/88skn - n7xq1arHH3/88ccft9vt1157rcvl6u7u3rx5849+9KP77rtvzZo1Tz755FtvvfW5z33u5ptvlmX5 - oYceev3111evXr1o0aLnnnvu5z//eVVV1YMPPtjS0vLoo48+++yzf/nLXxobG+vq6rq6uu68885P - PvlkzZo1TU1NY2Nj27Ztu//++yORyPXXXw8V27Zt29atWz0ezymnnLJ8+XIwZRdiZQkOAul+F6Wy - fgWdjqIohfOhQOYNqmrmwIEDfr+/trZ22bJlqJ1BEARBjsv69evnugoIgpQApSdmsywbjUb/67/+ - 6+mnn4Y04319fSzLXn755c3NzRCJDUHRy5cvf+CBB2A0FEXxd7/7XX9//0MPPXT11VdD+plrr732 - a1/72m9/+9slS5Z85jOfefPNNw8ePPjVr371xhtvBE/pc889d2Bg4J133gFf7ldffdVgMDzwwANn - nXWWTqcjCOLhhx9+9NFH//GPfzQ1NbW1tVEUtW7dOp/PR9P0vffeW15evmjRIp1O193dHYvFGhoa - 6urqqqurv/3tb1ssFrPZDMnSH3/88b179953333XXHMNFHvgwIHbbrvtySefXLJkyZlnngnmZavV - etttt33lK1+x2+2aCwNq+DfP88FgEBKnlZbIkZ5brrRqjswVkiTxPC/LMowYxe+4gSAIUrQkk8mS - WzlMjyIMAUUQpAgpPTGbIAhFUVKpVDAYhDRjZ5xxxrXXXnvaaadZLBbYcgPsmevXr6+rq0ulUjqd - bmho6MiRI16vt66uDpKcEQSxZMmS008//fHHH//ggw9OPPHEgwcPkiTZ2NioGrUURfn85z9/8cUX - w5Genp4VK1YQBAGGdJ7nKyoqLBbL3r17h4aGbDZbLBZ77bXXGIZxuVxOp/OOO+6w2Wwsyy5dutTl - cr311ltOp/PMM8/0er233HKLw+FgGGZ8fHzv3r3l5eW1tbVQMZIkly5detlllz388MNQMXjqJUuW - nHLKKSaTqaAb0FMUBbnfClH4lJiezKMoSigUSiaTaJlEjgtqZBAEQbRiaGiosrJSr9fPdUUKDk4c - CILkQ+mJ2bAy/spXvnLrrbfabDby2C5/2X7Cer2eZVmWZUmSFARBUZSamhqn06larkiS/MxnPvPy - yy8PDw9HIpGPPvqIZVm3251uEdXpdJBPiyCI3t5ev98/OjoKhYyPj3d2dkYikdWrVxMEsXHjxoMH - D27evPmll15iGKa2tnbdunU33HDDypUrzznnnLa2tpdeeun3v//9448/7vP5LrnkkiuvvHLZsmWH - Dh0aGRmpr68vKyujaZqiKFmWCYJobW11Op2Dg4PhcBgq4/P5wI6dETutLQzDgGqgQOUTecvPkOVu - GjL/7t27+/r61LeGIJNR6K8JQRBk4VBeXo7hygiCICqFFbOzd6KaOaIokiRZVlam1+thiQy5u9Mz - nAMZ/1WjjtMPCoIABnCSJC0Wy+HDh4PBoGrKJklSFMVYLGY0GjmOoyjKarWWlZWZTKZEItHU1LR4 - 8eLh4eGLLrqopqZm8eLFa9eu/eSTT7Zu3bp3796jR48+8cQTyWTyrrvu8vl83//+9y+99NI33nhj - z549Bw4cePTRR/fv33/fffdBtbN385IkCTZII0nS4XCAEVsUxULbmWVZBjfawt0okUgQBKHX6ye7 - BbR8JBLx+/1ut9tsNk9JEErfzg0lKCQHsNcARIhgV0EQpIQowglO3TwFQRAEIQohZqsyKkEQkDdb - 85kAoq/V7awh0HrCmpDHNsdmGIZhGJ7nYXdoVRJra2uLRCJms5ll2Q0bNuzcubOrqyuRSBgMBjhn - 375977///mc/+9nm5man07l27drvf//7apbvVCq1Z88ej8fDcdzo6Kjdbj/vvPPOP/98WZYPHjx4 - yy237N+/f2BgwGKxxGKx5cuXr1mzhiTJrVu33nHHHW+88cbZZ5994YUXWiyWZDIJFVMbEPzeOY7T - 6XQOh6O2tranpwcE1ILOrDzP+/3+VCoFfl/a3guWBZAPHPzec5SfrhaZ0nqiEModZJ4BoSVWq7Wu - rs5gMBTbahVBECQHiqKMjIw4nc7J1j8IgswzilCzhhwX7S2WkMQL5BzwiNa2W9A0DbnEk8lkntKU - oiher7ehoeHo0aNtbW08z8MW2dFodO/evaIowk7RGzZsqK6uPnLkSFdXVyqVUhQlHA7/+te/fuaZ - ZwYGBhRFaWlpOXz4cF9fH8/zqVRKFMWtW7fedtttf/3rX0Oh0M9+9rMnnniit7c3mUyKolhXV1dX - V8dxnKIomzdvfuihh7Zt25ZIJARBWLVqlc/nMxqNoiiWl5fX1NR0dHR0dXWBaC1JkiiKhw4dSqVS - TU1NDocDHgF+LfQ3xrKsy+XiOI6YXMaethALBbrdbrfbnSO8HI47HI7FixdbrdapBtBiwC2SPxCj - gXoZBEFKCFmW33zzzWAwiGMXgiwQwHI517VApoaW1myQbSCyF+yQNpsNzMIaij2Qc3v58uUOh2Oy - ksHXWt1YW1EUiqLOOuusjz766Pe//73Vam1sbCQIYtu2bR999NHSpUtra2sJgli1atVZZ531u9/9 - LhgMXnzxxU6nc9++fVu2bGltbfV4PARBbNiw4Q9/+MPf//53u91ut9uj0egvfvGLsbGx8vLySCSy - c+fOjz/+OBgMXnjhhWaz+aOPPtq5c6fH49Hr9d3d3a+//jqoBnw+X3t7e2dnp8vl8vl8LMuuX79+ - 27Ztb7/9NmRo43l+YGDgz3/+s9PpXLp0KcMwMI+q1nsNyTb8Qla53DcCN/tpVybP/gB1m4bMjGI2 - kj/QVQRBAL8b7DkIghQ/NE2fe+65U42oQhCkFIHF8NjYGGSGwq++hNDeaTwSiQiCoNPpRFFctGiR - y+XSSswGgfCkk04aHBw0m80TFqvaSxsaGqqrq8HzGaTuq6++emBg4KmnnrrnnntcLhdBED09PQ0N - Dffee++aNWug/H/+539OpVKvvvrq+++/D8L5okWLPv/5z9fX15Mkefvtt9M0/cwzz2zevNntdnd2 - diaTyS9/+cunnHKK1+u96aabfvrTn/785z9/8cUXy8rKenp6RFE888wzq6urv/KVr4yPjz///PMf - fPCB2+0eGRlxuVxf/vKXTz75ZIZhLrnkkt27dz/33HPvvPPO+eefHw6H33nnnUgk8vWvf72lpQWe - y+PxdHd3p1IpbSUByAyX7nUmSVIsFoNk5hO+ApIkg8Egy7Imkwkk7am+3zxPnvaTQvB8/jdCFizg - 1QIuJPAtENhtEAQpBWAZgyDIvAeWJWARREoLLcVsELfA3To9wFir8kHuuvLKKzdu3FhZWTmZHZsg - iJNOOumxxx6z2+0gbkHdKIq64447Nm3a9Itf/GL79u1Op/Omm2665pprfD4fcczoXV5e/uCDD958 - 881+vx92062rq7PZbFCI3W6/7bbbmpubn3vuuWAwuGHDhvXr119wwQWVlZUEQVx22WWLFy9++eWX - wed8+fLl559//qmnnmo2mwmCuPfee0866aStW7dCKPgNN9ywatUqyCvudrvvvvvuxYsXv/baa889 - 95zP51u1atU555zz2c9+VnWZvummm2666aby8nKtGhPe0eDgYDKZrK6uhhagKGp8fPzjjz/etGlT - eXn5ZIIuJJxTy1FTtWlVtxlisVgm27oTdneD1PTIQgYGhHg8Dp+AwWAgCEKSJIh5QRCk+FngkYoL - /PERZKGBn3wpUtg1pbYdQnVKh32tcp8Jbt4Z18qyfMIJJzz22GPJZFKn0zEMA5GZaqY0cFSuqamp - qamBC1UxEv5rsViuvPLKK664gjgm9qt6BFmWly9fvnz58vT7qr/q9frPfe5zcGH6cdharKqq6rbb - bvuXf/kXyD0GluR0l2mfz6f5BwaPBpnbIO83bJoNKdwnvESNmlaPiKIYDodNJtNkku3s097ePjY2 - pmpP1OOKooyOjlqtVqvVOne1Q4oF2GLd7/cnk8nu7u5PPvlk06ZNNpsNZzIEKX7AFQV2wZzruswN - OEwhyIICP/lSRMv5iSRJQRBisRj4YRIEwfO8JEka3oI4liI4t5E8XfpNB6zHkiSBJAl7g6VP0vBf - kD+B9BNA6IWttgiCEEURSoCuDxeKoigcQ90qTL1Q/Yk45squFiuKok6nM5vNNE2nXwu3hkfW3DWg - vr5++fLlBoOhqanJZrOJomi1Wk844QR18/AJr82ohrY+CzMBqjHZTsgkSVZUVIBzAbLAgSGisrKy - tbXV4XCQWZvqIYUGWxuZCYIg+P3+RCKBHQlBEAQpTjSzZqt7Hff09Kg5wLu7u/1+P+Qb0wqSJPNx - +p1Mw60qvymKmqycHNpxkiTVnGTZl6d7U0944XGLBV9WKDl99VAghb2iKGDHVp+F5/lwOMzzPLTz - hPdNF2IZhnG73YWo2zQ4bqgC7n2SzYI13pIkyfN8MBhUo7KRqTLtaHbYT4FhmAVrikRmCE3TBoMB - ozwQBEGQokWzJQ6Yg5xO55IlS9SI6P7+/lAoVGyL+JlnEdM2D1l6saqJW1GUVCo1oS+AtjZtjuNY - lgXRlKKoaDTa3d0diUT8fn8sFsvnXnMuokCLGY3GHJuEIZMBvhvq33P+NmcHeMyhoaEDBw4EAgHs - NtNDlmVRFNX+kw/Q8vF4fGxsLP9NGREkA5qmrVZr8QQrIQiCIEgGWloS0l12YeazWq2woZeGd1kg - pFKpzs7OcDic0XrqBtra3i4cDguCAEI+uPrnnypszlc50N98Pl9ZWRlI2nNepRKit7d3dHQUFDqy - LPM8PyWpqaShaZplWZqm9Xq9yWRCy1j+wBAUi8X8fj/P8/mPSPBtms1mj8djMBjwU0WmDS4tEARB - kGJG49hsgiBcLpe6eGptba2qqsK5cBqQJMmyrLpjlnqc5/lAIDClde1xb0SS5NGjR6PRqCRJBoPB - 6/WazWan02k0GjVcBAuCMI1qy7KcSqVyC35gg+3s7BweHoZbYJfLB2ioDz/8cP/+/clkkiCIaDQ6 - NjYGmwXMde1mA7fbXV9fb7FY7HZ7dXW10WgkikBtVBJAK+n1eqvVOg0vEjVjRWFqhywIsP8gCIIg - xYyW1hs1q1Z5eTkkG1vIWUBnCMdxixYtyj4O8o/mUpDNZmMYRqfTRSKRtra28fFxj8ej7TZdoVBI - FEWHw8GybD7FQsxwMpkcHx/PLfPDmQvKDKsJ0J5XXXWVesRisZjN5qLanq1AQCZFlmWdTifLsoOD - gwcPHgwGg06nc8EGq08DlmXnugoIgiAIgix01PxWc12RT6FlbcCZec+ePT09PZIkFdujlhwTOofr - 9fqysjK9Xq+VJAB3Wb16tdPpVDOfEwUwFJSVlVVWVuYfSqdGXPt8PpPJlKdkPtNaLjwyMu1Nlqd9 - vqIm8SI/vekAgiAIgiBzRfGv6FS7FzpRFgOiKPr9fs33t5ohGluzCYIoKysD30tkhky26NfW2gZF - gauwWr5Whc8yU2oWGBbRc3WBPz5Qun0eQRAEmceoaUrzTJczIdlmG1hhZi8A0rOiEsfSG+cf5pMt - c6bvXHvca9PPiUajJpOpONXfk+VIWgjOJBhkOgAAIABJREFUgEWLLMuCIEiSNJMvRXO0dxr3er0u - l4umaZ7nQ6FQMpnEPqctGrYnRD7rdDpIgaZVsbMMNIjNZoPNyfK8ShTFaDRqNBo5jitk7ZCipnh2 - fUcQBEGQDCKRSH9/v9PpdDqdIMVNVfKUZXl4eDiRSKhHSJJ0Op16vT472W0ymRweHpYkSZIkRVES - iYTBYDAajW63W00YlANRFMfGxhKJBE3TUILdbjcajZBtNHclQ6GQ2WyGjVcVRRkbG2NZtjhXaKFQ - KBAIjIyMcBwHuYrdbje8o+Ks8EKA47iqqqq5rkUmWorZsixTFLVr1y7VaXxgYCAcDmt4C0RbBEEY - GRmxWq1lZWWlOzSAfmfFihX19fX79+8/rtIUNKbhcHjHjh3Nzc21tbUEGnUXHrBcSCQSoijOdV0Q - BEEQ5FPAorqjo+M73/nO0qVLL7zwwoqKisrKSrvdnqd5GVY7nZ2djzzySGdnJ/xXlmWO404//fS6 - urpVq1ZVV1dDlg243f79+x9++OFoNKooiiRJY2Njdru9qqrqsssu+8xnPmOz2XI7Wu7du/c3v/nN - 0aNHjUZjLBaTZXndunVNTU2nnXaax+PR6XQT2s9JkhwYGPj9739/xRVX1NfXgzahvr5ek2bUFqjt - U0899frrrx86dMhms/X390uStGTJkpaWli984QurV6/OMwMRoi2KovA8X2yNr73TuNfrtVgsBEEo - ilJTU2O32zW8BaItLMtWVVVJkmSxWGCcVQdQUJRM1lnV4/lbAtWSM/yCMkogj20bnvFf9Y/0n8Cd - CY6IoiiKouqwBBPGhEnRoCi73d7a2mq1WjPulZsJa5v+XGqsb/bB3EVlFJtx1bRHjYz6THjClB48 - +wVpUs8CMdnjFyiV4EyqhCAIgsyEeTa6woMsW7bMarU+8cQTf/rTn8rKyi6++OJNmzY1Nzc7nU6w - D+ewMMNC6Be/+MUzzzzDsqzD4SAIAtJE7dq1KxgMnnfeed/61reWL1/OsiysnQYHB9977z2TydTa - 2sqyrM/n6+vre+edd958880HHnjg6quvzu3I/d///d9//etfXS4XSDsgkQYCgauvvvrf//3f6+rq - siVtqOR777333HPPnXjiiT6fD3avgOOataZGQOU3b968Y8eOTZs26fX6k08+mabp4eHhl19+ube3 - 95FHHmloaPh/7L15fBvVuT4+Mxrt+2JZtrzHdhI7ibORDRISEqAJlKWQ9NLbAgXSUlpuSAuFe/kS - lrZAy3LLFsLSQgsXGghhKYFAGgOBbGQjiR07ifdVsvZ9NBrN/P54fz6fqSTLsi3bsq3nj3yc0cyZ - M2fOnPOuz5vQoJAEWe7VkYOmaZvNZjQaM4qcNf1B4xUVFXl5eSRJ0jR94sSJrq6uGTNmpPEuWaQR - OI6TJCkUClmWBY2UZVm3222z2TiOk0qlYrEY7ENCoTAQCAiFQolEgvXnCA2JaQAZX0CBR6snqMcY - holEIlhVCYJADkZg5AqHwwRBkCQZDodRcDsUPWZZlqIogiDOnj3b09MTCoWi0ShN08FgEB4Quorj - OKLlEwgEcCONRsMwjM/nEwqFYrE4lQWdZdloNIrUe5Ik+Q9CEARUwxKJRFCEGQ7CCRCFxbIsSZLR - aDShExV+gssjkQi8lGg0qlAoUh/qmA4D5/9AKzj0cNBnj0QiDMMAHT1MCWhWKBTCfymKkkqlmWZH - RI+P/btFAAwxAoFAKpXCm+L6K9IPZBZJe5f4tpiEd0QpbfwT+EX+BrqKbwrhm36GJ4PCJExoWYux - ucQf53cmYbbeUJlj4u+YgXIYHynasBJa6DBeYmRCY1bCS9LevdEDejT0LxZn0csii9QBq+tQNZyM - BaiaQqFwy5YtTqfz0KFDPT09p0+ffv755zdu3Lhhw4aCggKFQgGcuElWQnBN//SnP/31r38N35pc - Lv/ss8+effbZPXv2UBT1xBNPlJeXg1wkFAoJgrjlllt+8YtfqFQqaOHtt9/+n//5nz/+8Y8LFiyo - qalJqBPCQaVSSRDE//7v/y5fvhw639PT8+KLL/7jH/9QqVT33HMPsO2iFliWhW1i3bp1l1xyiUaj - AR0JLQsxnhWMt5uAvSBeaecPIPbvK2TM3gFH4ocO9Sp+MEGSLC0ttVgsDz744PTp09FPDz300Nat - W7/44ouCggLY4kGoiImWj1/bOY5jGAYuSSVIYTIhjfYFkiRVKhVIdJmD9PeGYRg0y/l/Z5GZgPld - X18PBbc4jvvyyy8xDGNZtri4OCcnB+xDOp3u/PnzUF4YwzAwZwYCgYHE/fjPZuXKldCs0+mUy+VS - qRS+LofDYbFYOI4rLi6maVoqlUqlUpfLBSuUXC6XSCQtLS1yudxgMDQ1NfX29oJGpFKppk+f7nQ6 - 29vbhULh2bNnOzo6WJbt7e3dv39/fX29z+fjOE6j0UDpJq/XC1YDvV4fCAQkEkkkEnE6neFw2GAw - lJeXy+XyhD0HQG99Pl8gEBCLxRRF0TSdk5MjlUrhKofDIRaLW1paaJqeNm0axHE4HA5gBGQYJhgM - Op1Ov9+fm5vrdDrdbnfMLUDz9/l8BQUFOTk5YDXAMMzj8SxfvhxOEAqFQyo/TlFUIBCQy+WQFBD/ - dA6HAzKvBmoBHryvr6+rq6u4uFiv17Ms297e7na7DQZDbm4uTdPt7e3t7e2zZ8+G6ZE5m0QoFAoG - gxUVFW63myRJsFZANhqUoG9rawPyP6vVWldXB8Yg1H+BQKBQKKDQHQw7kN4Hg0HYhofUGRjJQCAQ - DoflcjkIEwKBQKPRJEzZiEajHo9HLBajanY4jodCoUgkQpJkwlQ31D5JkjKZzO/3B4NBtVpNkiRF - UTKZjKbpcDg8pOp3eXl5YHTDMCympjpY6OLNbeg4XAiWMv4dOY4D21YoFBrSHiGTySKRCLK14Tiu - UCgSmq4hOTB5TW+w+oFonkraIVwC8lDCk8F2xrehoHfEFyaQ2hyjPMcYROJ/grHiS5b8S4Y0khDs - kzphD1+lH4ZgNJBcHn8mekYsKQ/okO4+SkjjQse3MiS0XvFnRUKrRMLujbbRcCDwX3dCOxGywQ00 - 57GhTzNY/UKhkMFg4EfP8W+KDcUslZb3G3/TGNvZoO+RYZiZM2c++eSTP/nJT86dO4dhmM/ne+GF - F2pra1etWlVRUbFw4UKz2azRaJB9IabnoMjl5eWJRCLIx+Y47uqrr77ooos2btxYW1u7c+fOO+64 - AxZ5xFfCcRyQKxEEccMNN/z1r389cODAyZMnp0+fnrDYDdwFGpHJZGq1Gg5WVlY+88wzDQ0N77// - /s0336zX62EVhcXH6XSKRCKFQqFUKmOs3hiGRaNRt9tN0zSGYVqtFvh3gsGgy+XiOE4kEoFajjoD - Cd69vb0+n49lWaVSWVZWBnsQhmHhcNjn88lkMp/P5/V6CwoK4EklEgl/M+U4zuv1SiSSJJVxxGIx - bK/hcBjvrw961VVX/f3vfz958uS1114rkUg4jvP7/aFQSKfTQcI5wOFwMAyj1+tDoZBcLg8EAp2d - ne3t7UqlkiRJk8lkNpszyh87qgCOpLSQlgkEAmQYyhykX82eagWBJgccDgcSoDs7O998803+S4yR - AGDpiUQiOI6DP5mmadgykQkQnJxgLGQYhiRJnU4HrVEUJRQKkYORoigwtWo0GmAIBK81bEJgWPV6 - vaA2eL1en88H7ZAkaTAYQqEQrKdol2psbHzggQeQ9A8SP0mSDMMg1R388wzDgL6hUChAm0q+7+I4 - TtM0KDkMw0SjUf7qAC73YDBIURSUB4eHhW2DZVmGYUKhEE3TSqUyHA5TFBV/C7FYzDCMUqkUi8XB - YBAMHxiGwc4klUrlcjkYRFKRn3Ach2ABGMaE50APk9v/wKTi9XrhuWDzCAaDcrlcJpMxDBMOh0Oh - ELJD8zuQXAocVREQHj8SiaxcufLEiRMymayiogLHcY1GI5fLe3t7IdwGbBnvvPPOrl27+F0Cpbq0 - tFSpVDY0NHg8nmg0WlRURJJkd3c3zP/hdYlhGFDqYArNnTvXaDTy74thmEAgCIfDx44d0+v1EIEG - G3lHR4fH45FKpUajEQQaPgQCQUtLi8ViAfECbE/V1dVarbanp6eoqMjhcNhsNqfTCYLLoL3lOO6K - K66IRqPl5eUcx3V3d8Pcg34aDAb4ftEl8NXn5OQEg8FAIBCJRKDzXV1dNE3DggCrB9hourq6/H4/ - HOc3giWScXEcr6io8Hg8fX19GIbBgNTU1JhMppiTcRxvbGyUyWRms3mgmQ/Kv8vlCgaDHMeZTCaZ - TJZcsodLnE6nWq2WyWR8Uwu4LOx2OyxlaFmoqKhAF3IcR5KkVCpVKpV+vx9MDzRN+/1++KyCwSDQ - BUWjUb/fT1EULFYYhkFsCywIOTk5EJITDocDgQDM52AwaLPZ+JyjfAWD/xTQDZFIRNO0Xq83GAzQ - csLnFYlEYC6H9QqifiiKgsEXCASDrpnwDcLAwiWwSCoUCjBXMQwjk8nC4TDET/X19cEaq9FoYpIz - YUJGIhG0DmMYBjFE8cZHlmVBUA4EAmDM4s/VtKw8SqUS7G7Dbg32FNgxPR4PdBhaIwgCJgkKNxMK - hX6/PxqNSiQSqVQKsUXx3zLLslKpNBqN5uTkCIVCGHz4CaZNelddUMNibG3w3sHCGwqFJBIJTdNg - +BaLxQqFwuPxaDQahUIBOzVsx2D2Ql0dquUI6w8/DgaDyEwTDAYjkQjYTx0ORyQSAZIq2MExDHO5 - XNANkAr4/gOTyYQ6M4xxQ5MfYgCxfjspSZJ+v1+pVAJJsMFgEAgEDocjycMyDKNQKIqLi1tbW+FL - hN3h2LFjGIbNnTt35cqVa9eunTZtml6vl0gkMTs++EV6e3tRZ2AR1mq1d955Z319/Y4dO66//nrg - qUFdxXEc4vVwHPd4PMFgUCqVwuI26N6HTiAIAmY4OCcgGDAQCBw+fBjEp48++qioqGj9+vUEQRw9 - erS6ujonJ4cgiNOnT4NXo7a21u12R6PR5cuXr169muO4vXv3Hj58GF7rpZdeunz5cqRcNTc3v/PO - O7t377bZbDRNq1Squ++++6qrrlIoFJB2/vHHH+fn59fV1Z05c+axxx7r6+uz2+2rVq0ym82waHMc - 53Q633jjjZUrV1ZXV8dHRsBpra2t3d3dHR0dVVVVsDtHo9Fvv/2WpumamhqYUQRBfPLJJ4cOHdq0 - aVNJSQneH1P5zjvvdHd333nnnT6fT6VSffjhh6+99prVahWJRIFA4IILLtiyZcvcuXNTnGYTHXa7 - 3WQyxQszw0MafePpQmb51rMYe9A0DV4+cPtwHKdWq3U6XRK9q6ioCBJRJBJJQUGB1+vt7e0ViUQg - BAiFQpFIBE5OqVQK7mutVnv69GlYcEFLR4HKIpHIaDSCKkhRVCQSEYlEYAfF+r1DRqMR/iuRSOBv - 2CQwDAMvN47jfr8fjAVCoRA5mZMAArPBkwmigM/ni9k/4C6g+cPxJERxsHvBdg5SDk3TaH8dBoxG - I+zEWq22ra2NoqhgMOh2u+VyOcia4FWDjRCFsmP9cg90GMy0MIwQq4wC/kExAL/cQKZEsIOABCyX - y0GIByEPTmBZ1uVyBQIBDMNsNhv8BLdGklNMm7AOQnh8jKICyifSYMEbSZIkmCekUikaz3i3IQJ6 - NIZhJBKJXC7fu3cvQRAul6ujowMGB8WHI8kGbD0x4xAKhUBxQiLLuXPnJBKJRCKJRqOgq4CyJJVK - 4S0Eg0HotlAohJ5g/YoNdBWsOTAyME/27dvHd8+Gw2H0mgiCaGtrO3LkCHQ7RTkPZsKpU6dAVDpy - 5Ajct76+PqHqBUMhlUojkQhisgVXBsuyr776KkqCQDVgIpEImOdhAUGOKfiQkdENTov5pjiOo2ka - PWN8z0H8hZeb3EwDqma8yQAl9SXJ7osRalPMGRn0ZL4zFsOwSy65BJ7F5/PBhCwoKJg5c+bZs2ct - FgvLsn6/3263g4bvdDoLCgq0Wi3DMFar1e/3Q+gNx3EwzeCNlJeXw8fl9/udTqfZbNbpdC6Xq7m5 - mW+zgDkGK23M6xaJRDKZjKKogoKCmpoaWP3inwUsJj6fj6Ioj8eDYRgK7gC5f1A1G9Z8YEIiSVIu - lwuFQofD4fP5jEaj0+kEPUSr1Xo8HrVarVarz549S1EUcMb+7Gc/47cGE8/pdDqdTofDgRZbi8Vi - tVpj/KUMwxiNRpPJ1NzcLJPJFi9e3NnZ2dDQwF8qYxqP97gO9F9AdXV1ZWUl3z4bb9FIeBz9ShCE - xWIRi8UGg+HQoUNmszk/Px/US7FY3NDQ0NPTA14vhmHUanV9fX0kEsnNzS0rK1Or1Vqt9ssvv7TZ - bDEPXlBQ4Pf7165dW1pa2tXVBdscy7J6vd7v98dXFYkxbCUZnxgpFlY2tVrtcrnQYg4H3W53Y2Pj - zJkz29ra8vPzHQ7HyZMnMQzLz8+fNm3ad999d+mll86fPz8UCtlsNrPZbLfbKYpyu93w+BqNBnKR - 4sctCWLS2UQiUWtrq81mmzt3bjQara2t9Xq9y5cvz8/P93g8sOZ/8803c+bMgVgzMLifOXMGLr/+ - +uthkdHpdIFAIBXTZExnPB6P2+02m81Yv+La0dGhUqkaGhpmzZp17Ngxi8WyYsUKhULx1Vdf8ZnA - Y4Yd/qivrwdNFe2hMNrffffdd9999/bbby9cuPDiiy8uLy+/4IILTCYTcmbMmjVLJBLB9ooik0Ui - USQSWbVq1YIFC/bs2WO1WkEVhPt6PJ7u7m6lUimRSHw+3z//+c+WlpZFixbNnz8/eYgQHhenDUbP - xsbGhQsXgmjX2tp66623QsZiJBKZO3fu9773vYaGhttuu+2ZZ55Zt24dSZL33nsvbGESiSQvL8/v - 9+/atWv9+vV9fX2HDx/Oy8uDOMd//OMfr7766qWXXgp78SOPPPL111/Pmzfvpptuomn65Zdfvvvu - u41G48qVK0UiUXNz8yuvvBIIBIqKilQqFcuyJ06cePbZZ3/961/ffvvtwNRDEMR777339NNPl5eX - V1VVxT8g7CnFxcVCobCpqen06dMKhYKm6d7e3n/84x96vX7x4sWws2MYtnfv3h07dlx33XV8E8Z3 - 33137ty5m2++uaKi4sMPP/zd7343e/bs2267TaFQnDp16o033ti6devLL788pMk2QcFxXHFxcRoz - vzJNx8ZGQ83ORolPFMDyZ7fbVSrVvHnzPv30U6/XyzDMggULNm3aVFhYOJBZqLS0VCwWg2qdk5Pj - 9/utVqtYLBYKhSjPOScnB3KMcRx3Op0qlWrfvn1yubytrQ30E7BkRyKRnp4erVZrt9vNZvOZM2es - VuuSJUtgSYKsHoFAoNVqY1JSBQIBJJBDno9IJNqzZ8+2bduamppUKtWdd965Zs0anBdZxwdsnJBI - DMKlWq0Oh8OHDx8GxnWUsSMWizs7O4FmM3Ulh2VZtVodjUZDodBASuagLiCId9JoNDabzWAw7N+/ - //jx4yB2z58/32az2Ww2q9Wq0+nAEGiz2aRSKTgMSZIE9RLDMIPBoNVqe3t7MQwLh8NarVYul5Mk - CbqxwWDo6+tTKpUgsMZ3VSwWNzY2tre35+fni8Xis2fPlpeXl5WVwSMQBBEKhR577LHa2lqQh8rL - y5cuXapUKgUCgdFodLvdwWAwXvQUiUQGg8HlcoVCIbQr0zRdUlKiUqm6u7tBIFCpVCAonzhxoq2t - beHCheCOJknSarWC1Bgj6IN7JxQK6fV6m82mVCoVCsXbb78NW6PD4QAjDnITffXVV+fPn6dpurKy - ctmyZWq1OiYaHGmM6OXOmTOnoqLi8OHDubm5xcXFTU1NNE1XVVVpNBqn03nkyBGdTmc0GvPy8nw+ - H9wlHA7rdDpw5YH3CcLGpk+fTtP0/v377XY7mq5NTU0KhcJoNEI3wAukUqkQRQ3qWMLJwz8BicXw - Orxer9/vj59+8KJnzJjR19cnkUhkMplCoQiFQufOnQuFQnv37s3NzVWr1QKBACYPSZI9PT0mk4kg - iNbWVpVKBdFuHMf19fWZTCa5XA4ipsViyc3NVSqVSA8Hi35zc7NarYbXx+8PKAkwAXJycuIDTEBH - Qq6qcDh86tQpcG7HAKnZCUeJ/35jxm1QJNQ3+D/xj5w+fZp/I47jGhsb9+zZA3FAMNmkUqnb7QbL - UWdnZ0dHBzq/p6eH3xrYJtra2vg97+zs7Onp4ThOKBSioCEMw+AtAJ9FTMQ+ErgdDseePXvAAAcq - MbipkYURPky4NdhTuBTClWPGhH9rdAT0dgBQafj9/q6uLhzHwX/b3d29adOmgZqFSQvdi+kJqB/I - NwXe+I8++kgsFsM6APMK5GDoDIwGuNbB44eMsPDZArNGTLLAkSNH4BxsANJQuHVytSS9gJd74sQJ - iqJ27tyZn5/vdDohZAm4ToFjBXy58CrREyHjIwwUxovdhadAHl30B8wHjUYDTmOsX8eGcDa2nxcj - Ib799luxWBwOh71er06ng6qioPpiGAYZLkMqA8E3oYKtE3yMYOKB9ysQCL7++uuYC7/55hv4I+Yl - 7tmzB/5QqVSBQGBIZDQxgC8XhXUgd7pAIPjiiy/gFcS3D0s331yLfgJTO/wNpva+vr6PP/54165d - Op3ujjvu2LRpE1oNZDIZQRBarRY+DfSA8Ha0Wi3LssePH6+pqUHW4V27drW0tKhUqtzc3BMnTnzz - zTc+n2/Dhg0GgyH5k8JS39DQABsWQRBut/uVV15hGObGG2/MycnB+jOfu7u7r7/++ttvv72srCwn - J+ftt99GhoxgMGi32/1+/4UXXnjPPfcsW7bMbrffcsstf/3rXwmC+K//+q/Nmzfr9fr33nvvvvvu - e+utt+bNm2c2my0WS29v7x133LFx40aVSoXjuEajueeee06dOrV48WIwFhMEMW/evG3btlVUVBAE - YbPZFArFgQMHfvCDH4B3naKo3bt35+bmVlRUJIzcRsIhjuPPPvvsK6+8kpuba7fbrVar2+1+4IEH - UCw6ejUxnz94v6Gdrq4uhmGuvfba6667TqVSbdiwgeM4SA2YCgBTGlhsx7svo4VR8WanLq9kMe4A - jnHwUWAYRhDErFmz5s2bl5+fD8tl/CWwOpSWlsKL1mq1fNkOnYP+AP/z5ZdfjmHYRRddlKQzl112 - GdZPMwYiXXV1dYoPUllZefjw4e7u7sLCwkWLFs2dO3eokg2fyiJDwHGcwWDgOO6aa6655pprht1O - 6sMYj5kzZw70E4iwxcXFEBPIsmxlZeWdd96Z0AY8EixZsiTmyJCeqKamBv5ALCNYv036vvvue/31 - 1/v6+q655ppNmzbp9foU21y6dCn8sWzZMv7x5DM8eVMA8Gbzw0lifE1jDKfTKZFIUCY53p/kBp2k - KIof7sHvPHizY54FAFfx09X4QNaiVLqH5PsYjPagDWSFjMG7774bfwnHcSaTKRgMer1eoVA4Y8YM - q9Xa19fH/TtpHJYoi5vrZ/3hHzeZTMgTiM5ZvHixXC7v6OgAxzhYKEAvLS4u1mq1zc3NkUjE4/FE - IhGNRiOVSpuamiKRCDhqLBaLSqUSi8Xnz58HGV2r1YL6lPrj858dS5ShCv/lu+yQsgdupfimoBGG - YUpKSiQSSUdHByTswK/RaBRMQtBbkNchCmDGjBkQrJuTkxMKhcDHDhMbUknD4XBXV5fVap01a5bR - aGxsbMRxHGx/KGtGIpGw/aSAdXV158+fhzSKYDAIMSz8foKKC1cNOkQJjRcxdg1kruIPKf9FMAyT - l5dnMpnq6uoaGxs5joNCskqlMhgMRqNRnU5XXFzs8/lgtggEAvREEDEhFArz8/Mh0DcSiXi9Xogw - MhqNfr8fvk1kQYb3ZTAYGIaB6q3g+zUYDMChwGf9QJ2H+0LBV5IktVotxPfiOA7uU6/XC0k6EonE - YDAMtFDEACgt4TElEonZbIYUD5qmkVkf6Dn4s5c/nj6fjy/xnz17FjWe+taQEGKxWKvV2mw2yEku - Li52uVwejwcZPiCZIubtg2UTMh2i0Whvby8y6/AB+jlBEEA/JhQK6+vrg8EgEsyAkcvn88GZMY8P - SSgxynxbWxtYJSD5Apo6d+4cpDYk+fyhD1u2bEHPAmFoP/vZzy6++GJYQMA4XllZ+cADD0ybNg2s - G1AHy+v1gvWBpunc3NzHH3989uzZkUjEbDbfddddZ8+eLSkpue2221QqVSQSue666959990vvvgC - /DSFhYU7d+4E71FraytBEB0dHSgJHOs3G0FdNKj8tGbNmurq6m+++ebgwYNQ2OzYsWPHjx//wQ9+ - oNPpEgZDwUHw/Wg0GuiJTqfT6/Xt7e0HDx5ctGjRqlWr0CYYn24gFAoh+QvDMJVKJRQKP/nkE6VS - OX36dJPJdM8992RggnHaAVMIcqbADDReEs5oY7Qo0LKaduYDdjtI2uG/L3h9SNbB4iIkkZAHqyHe - n5KN8ZZv9C9qGRnFYxqMcbPAZkBRlFqtRtzjyR8E2d1B1s/JyYENFe+nGR8IfNkOme1jbpfw4KCI - d2oNA3yJih99HSOFY/1sRjGXpysOhy/18kcD9uDu7u7GxkYQiTiOO336dFtb27Rp0/DBgpwTDhF/ - FvE9Lfw+DOMRkJcAXKlImAAXB3+SI29DktZihiJmhqQ4YWJGkj8UMGn5YeRYOmyXg87J+KdGdjR0 - IZLSwFMEKR4sjwUNHU/4XwQQQRKqxxjvXaTyXPwUhrGE0+kUCoUKhQIyZQbSo2677bYx7hgCzOTy - 8vKBThiGSWiMsXHjxiS/xiyJA/2KDfEjGtLqjW7tdrvFYnHMVOzo6ACmpbGsbIo6X1tbi7Y2s9ns - cDjA879s2TKLxdLS0sJxnEQigdgrjuMg8Fsul8+bNw8S2oPBoMVigQzn6upqi8UCxyH6BuuPQqqq - qqIoqqOjAxTyysrKgoKCaDRKURQQ82gKAAAgAElEQVRENiXs5/nz57u7uwmC8Pl8drsdVMFz585V - VlZu27Zt9+7dHo9HpVLdf//9QAyRfFlG2iAk5arV6jlz5oTD4ba2Np/PV1xcbDQagcggiVRgs9k0 - Gg3S6vfu3Qt/DDolkhj1cByHgP/S0tLGxkbIslm0aFFnZ2dnZ6fZbLZarZBMgUYVtSkUCg0Gg8Vi - gQiFRx99FBnjUOMYhgHZuFKpnDdvHtBV3HrrrRDox28QDBDx3QYhsKamBiLgYHyKioreffddyE5X - KpWffvrptm3bXnzxxfLy8htuuCEhBRqgqqoKwtFXrlwJsdPLly+fP3/+7NmzgXcABDMcxxctWgTh - 1rDgazQagiC+/fbbtWvXyuVyGDSpVIqM40ajkSCIhQsXQpwO3A5ytZDU2tXVdfDgwc8++6y9vZ0k - ydbWVsgx4e8mEJMCznaxWHzTTTedPHmyrq5u3bp1MpkMQhhAzU4y5WDoHnnkkbVr14KpjmGYN954 - 4/e///1vf/vbd955J8nCC3k3LpeLYZgVK1YsWrToyJEj+/btKywsXLly5UUXXTRr1qxJX6EJxnag - UMrJhDSr2RzHud3uhMk/WWQaIERcoVDEsCkS/Qy0QFkMxrb4y3EcB+7uGJLG+NPgDzgn/sz4I9Ag - BNukEkkCCbFo3YflL2GsTnIkvNe4h7IktHTEY/T6yW+Z/zds4adOnert7QUpjeM4i8XS1NS0cuVK - RI6d9j6M5HK+XyhGboPHgameSs9RmzF9S7GrA40qlkhiG6rnML3gm7r4I4nC9VHCNjqOzsEGGJDk - ruYhPS+8ypSfJj3Acfz48eN6vX7WrFkQAA/cePE9GWgrjPHlYkO3pFAUBWIi37nNh6CfRCqJPSvJ - cdSmYBR4s1JE8ogG5MRLOFvQr1j/c4HTLMaCjKy06AgyxsU8ePwg87khwAEVY+4sLCzEeKHXYwO4 - HUEQwAuQ8ITS0tIYerkYgMdSo9Hk5+ejqxBnSkIA2x86WSAQgPox0LOXl5fHqyIrVqwgCOKf//wn - vAiSJOfOnbtw4cJhGFjBiIBCmeBjSf4uIHYMnTDQAMYAx/FAIEAQRPKYBY7joGII1s+/XVlZiWFY - coWK47iqqiq3271t2zYU/A+E20CpQ5Lk/Pnza2pqVq5cuXDhQj7dWiqdj0Qip06dIggCOG7QtcCx - BwptNBpdt27d4sWLV61atWPHjksvvdRsNg+0Sp85c4am6fXr1z/zzDP87xfFgGAYJhaLgTwFVG54 - 17BTAE0dsEK0trYGAgHQh3EcB84gqMjNf0b0yoBX7MyZM6WlpcuXL5fJZAcPHvz2228bGxsh753v - BML6F4crr7xy27Ztn3/++X/+53/KZLLa2trp06ebzeYYI0UM8P6ULijOCivJT3/602+//fadd96x - WCzTp0/HcRxMGChBg7+Zgr2+uLj4tdde27Nnz+7du/fv379z587t27fPmzdv586dI2H2mSjgSwLj - K+eMHtKsZuM4DsE5kPyT3sazSBdgZoOVGohS+b8KBAKgCD516lRra+vy5cuLiopiVhwU7zFU/cfn - 84lEoiREYkD9MqT1hWVZYFaD/6KuToiPdkJ0ciBwHHfmzBlUmQwsL+fPn4ciYVjm0VHwxYgYiXnY - rvK0I37QxncYEw5LjLwSczz+7ySnDfXX+JPHfnxAYoYcVMhPGWhARqMuC0xdCFcuKytTKBRJRmDQ - JTqVJWjcrY0JkfyDjf8VjqRiF4u3Kw2KhJYj0NLHPh4yJiIG+/eIJ0gNjefzQyE2YKDBeVWLIb2Z - T1ERc7sY9RXpV0keHJyQ8QeB5AXu2NPT88UXX8yYMQPM6IMOIz+8CMUKQeBVKgZr7t9LKKfiLoIw - e6DGLCsrG6h96A+SitErQF4NbAB7BMdxDMO8/PLL4FoHAbuiokKpVC5durSoqCgnJ2fRokWw4UIZ - C/Ts8U3F9JwgiLNnzx49etRgMECNVXQOf1kgSZKmaYVCAfnP/ByNeKhUKoIgNmzYgPUzlaLHR9tu - fn4++Kv5Xw14s+FvqVS6cOFCm83GL0RaWloKVRjiA1XgcT788MP6+vr169c/8MADer0e7DV33HFH - dXU1OOrRJWi5jkajYrH4hz/84ZYtW77++uu2trbOzs7bb78dYrhSDG1DswsNIBqfpUuXbt++vb29 - fdGiRSDWsiwLrJbwyfj9fr/f//3vf//aa689ceKE3W7/29/+9sknnzQ1NVVVVY3L7jaWQE8HBosk - URITF+kPGge+kMk3UpMJ8HbA2BazZmEYFo1GVSoVxF9JJBKtVjuQMI0IwFMBLFjnz5/PycmJqbID - ZX5BMvB6vVDaMXWdBzE/o/7z7aaZDCBJhvIb492XoQHEEa/Xe/LkSZQRCpvo8ePH29vbwRg83t3M - 4v9Hcu9xFkMFeHvQ3+MysBCQOXIFGCoMTZ0yraOEhHNgfC13MdtKTARN8siyGLNRTDAa0sMxDHO5 - XFCsBP0KpYkHnZnxfYAwaZvNdvToUcSd+cUXX9xwww0FBQUpWivizSipfyMx7aeyL8M4lJaWAtlE - 8tWA3yDq2ECBUajx7u7uTz/91GAwzJkzp7Kycs2aNfPnz+enJ4CnAax+SbrK9gPv59Ho6up6/PHH - +/r6br75Zo1GA5UmkcaIZCrQlvfv39/Y2Dhv3jxI5o+f2/DsRqMR6AMTdgaeqK+vj1/aALYnj8fD - Dx4JBoPguGb7y8R6vV5Ud5Z/UwzDCIKgKKqlpSUSiVx55ZXAySeTyaxWK8MwBw4cuOWWW7Rabcwd - sf4vdM2aNS+88MKnn34qFovFYvHatWshcGPQ+QbEeBzHAZdBW1tbc3Mzn46ksrJSLBbv379/9erV - QOp59uzZQ4cOQS3DYDD4wQcfHDlyZPPmzQUFBVDEy2KxfPnllyBWTYUtGx4TckVh8kyyp84W9JrS - SBgHiKzXM2fOTD7dh7EKQB1CtEBz/WznwEBDEMSxY8dyc3MrKytTZ2flRwJjGAaFNzL8Q4Wutre3 - d3Z2VldX5+bmYhNKC4L+19XVQQ0hvnO4paUFSndMhZCniQJEK5ghHvtJALTsjP1nC3csKysbeVMc - xzU1NeXk5ABRVhZZpAiPxwMZxS0tLRqNBrjZMQzDcby+vr6ioiImtTUVaQHOOXjwIGhHfLttQUHB - 6D7PcIE8saPXeElJySuvvCIWi00mE+iuKBgB1F2CIJJECGIYBhHgra2tDQ0N4G3GMOzkyZOvv/56 - fX29yWS6+uqrUUYAcng0NDRAfcpwONzb2/v8889TFAVFqpNYE8BPO1C6B1wF1JhQFxAlH4HmiZp1 - Op2gVKMjoVCIZdmenh4Q8Lj+9EAcxxmGkclkhYWFQqHwu+++g3J37e3t27dv9/v9QKuGYRiUE49x - hrMsW1JScs0117zwwgsOh+Omm24yGo2DsgLBCHz++eeFhYUajaa9vZ3juNdff72urm7VqlUlJSVw - eXFxcVFR0bFjx7788suqqiqWZR999NGOjg4Yn5aWli+//PKTTz4pKipas2aNTCYLhUIffvihWCzW - 6/UTSCAcCeAxR0gxmMlIv5qNsjTT3nIW6cVA3zCwiWL9RReSrKdDWgUgQAiWuZgWTCYT3CUajVZX - VwPr5rCXmAk0/YZkaM9AHDlyxG63o30LXNx9fX179uy58MILIeVsimwVGQsQU5xOZzAYhHry2TeS - Foz7MKYrQiE3N5dPB51FFgnBcVw4HAYmF47jjh07dsEFF5AkuWDBAhQ+BnPSbDbHUMHxq4cMepcv - v/wyFApBSjBY4Q8ePFhTU5PJVX9GNVwIx/GKigqIHgduVzSSgw4InDZjxoz8/PxPPvmkrq4OhCuG - YaAI6Lp16zZu3AjVsFFEt0ajaWlpueuuu6C4NMMwbrebJMmLL754/fr1arU6iYg1a9as5OsJx3Fl - ZWV5eXlsf1Er6GRxcbFcLkeRjAUFBfX19RADz/XXU1AqlWfOnAkEAlAYDMOwadOmoUI5V1555eef - f/7cc8+dOHGivLy8trbW6XRqNBrwkWIYVlZWZjKZVCpV/Lhde+21b731VjQaXb9+PQScJx9YqLDz - 1Vdf1dfXQ12GSCQiFotXrFjx1FNPQU0voDO4++67//CHP9x///1AyC8QCCoqKsC3lJeX97vf/c7n - 87344otvvvlmYWFhX1+f2+2+4447klR4yWJiIf1qdiAQCIVCIykwmMX4oq2tzel0lpaWpjejDBjX - pFIpGFb5P0HxBpIk7Xa7UqnkZ9EMAxNCx4aBnTZt2rRp08a7L8MBQRChUOjYsWMulyt+wM+cOdPZ - 2TnpqTInCiD8DyXCTZFQtEmPtLxEHMchlCaLLJKDYZje3l6z2SwSifx+f1FRkVgspihKLBZDwKfB - YADtBYjfALDadHV1+Xy+8vJyFJMcD7DSejyeAwcOoFhcYPxqaGgIhUIKhSJj167R7hUYMoaRXAa2 - D6lUeuGFF+I4joKuo9HoVVddtW7dussuuwwIegAcx82ZM+fHP/7x559/HggEoCK0UChcv3793Llz - 16xZA+JZwueFgzKZbN68efFFXtE54L9ZvHixwWDgt6bT6ZYvX75kyRI4WF5eDsX5+C7uefPmXXjh - hVC7Gw6uWbPG5XLBkenTp7/yyitPP/30wYMHz5w5M3PmzCeeeOLs2bOVlZWQ4VhUVHTvvfcCOxrq - EmjUM2fO1Ov1Wq22oqICCmT4/f6Elh2wcVx11VVwDsxVuVzOsmx5efnq1av5E5XjuCuuuGLOnDmf - fPJJT0+PyWS6/vrrfT5fXV1dTU0N8CY+88wzO3fu/OCDDxiGufTSS1etWnXhhRcO9UVnkbFIP9M4 - wzDx6b5ZZCxgLfB6vcD2wbJsVVXVaAheEKrU29vLcRwEHfF/tVgsSqWyq6vLZDKNkKQ6Yw3e8UCm - 3MwUHQYCbHv19fVnz56FWql83hQMw7q6uhobG5ctWwZFSrMYR6CAkfHuSBYZioxVXbLIKJAkmZ+f - D5oeFJShaRrqFbvdbofDAWlfOK/QN9Yf1Xz06FGbzZafn49IWOIBRGVffPFFZ2cnsgmCw+bzzz// - xS9+MSQumEmGkST7wBt5/PHHY45z/bVCMV4kAvxx77333nvvvXgcUShf4014IwzDKisr33333ST9 - gWf505/+FHNcKpW+9NJLqJ077rgjvv2//OUvMQeXLl26dOlSuIRl2cLCwj//+c+oEYvFsmDBAlRM - R6VSXXfddTGPAFP0zJkzVqv1xz/+MSQ7uN3uEydOQMmxmMGHyw0Gwy233BLDmYfxgkDRydFotKCg - 4Oc//zkc4TjOYDCUlZWh4TWZTL/61a9+9atfoSNZBWoyIf1M4zqdDkpAZWt6TQjAinD69GlEZAWV - z9N+I9ieB8qwCofDGIbNnj079ZTseIDW2tbWZrVah93PscSEU7D5OHPmjMfjidmJETXa4cOHL7/8 - cihYwhe5uPHg3U2CKbKfZSnQshgI2VmRRSrAcRwlABcWFhYWFnIcBzVvi4uLi4uL+Weiv2G1X7t2 - LWIIS3ILlmU/++yzYDAIccIAsM5/9dVXM2fO5BdMziJ1gA8Z+/f9Do6g+HOQ2OEdxYejQgB/imH/ - 8cpnwtOwuLqV0CV4xQnbSXgQ9GQcx4G2HeLM4ad//etfy5YtKy4uRm1C2Da/BWhzz549UAMPPMwU - RZnN5phitzEAzja+L4EgiPghgrqAkUgEeg51HxmGQWdCtXnoIXQ+eSHDLCYW0v8ux6vAZirIyprx - gDGBrBjwTDqdTqgxODYABXvatGkx1BTDA4gCE464ewIBtgqKovbs2QOxCQlPO3bsWHNzc0VFBQqd - wjDM4/GEw2HgyxnTTk95ZBe9MQOksIJSMd59ySKL0UJMEMRAMRF4f32m5EETHMcBJ9aJEyeCwSA/ - IhIEg927d2/YsEEul2eDL1JHKBQSi8X80vH8oUMGCxhSyP/KyclBFd34TQ2brT11gJ7MvzxhU/G+ - Zf5VQAiHOr9hwwa+cQfH8YGW5d7e3muuuWbGjBlwudlsNpvNA3UVRgyMEUAsmvypCYLgl3LA/716 - KI7jUBscw7DkVHYZiOz3OCjSr2YjGyT/IF/URlGy6Bx+vEra+8NHJBIJh8OjVD9pgs426LbBYECf - t9FoHGF29JBubbPZIpFIbm7uyMmZUFzQ2PR/KqO3t7e7uzsYDGJxDmEUN15XV3fhhRciuhSbzfbm - m2+2trbeeOONCxcuzJCPBUJvxrsXWUwecBzX19enUqlGiXw4iywyATELePL1fFDpCE5obm4GKin+ - ngIqd319fUdHR0wp0CwGAoxnb28vEBwmj5uDn4BUDA3vSDboVK5NYpRJ8YQkB9GRVKIjQUnevHmz - UqlENOzJVRIUN5685SEhQySiIQECELIBJskxKhRoYIzEMIzjuGAwGIlEIDqCpmkgYBCLxSKRiKZp - eENQZA/8kDEpIuiPQVNY0TnYwPM1HA57vV5+UbshIWEoNboXikWJtzKgM9HJ/HOGETmcZAmIaRnj - DeBAD4XjOEqyxUYtaDweaHF3Op1paRAiKTo6Onp6eiao1SPzARNp3759XV1dGIYBHyzf8wB/hEKh - I0eOXHHFFSqViuO43t7ev/71r3/5y188Hs/cuXNrampGkh2QFsAMWbx48Y4dO+BZsshi5AiHw2q1 - elD3XRZZTB2kGGn88ccfBwKBmD2F66+0vHfv3jlz5sQTu2QRD3zoNf8mpf0idYVfJpPJZLIhWRmy - 4bHhcNjv92u12ozKBMw0jAoFGlofA4HA3r17JRKJ0WgkCKKtra29vT0cDpeVlRUVFbW3tweDQZ1O - p9PpmpqaNBpNSUmJSCRSKBQQjyEWi4PBIKjfsPJSFOX3+1mWFYvFkUgE0kVgFVar1TKZjKIoj8cD - xxN2T6lU0jQNZfSGOi0SVhb1er0QDdLc3KzX66VSqUgkkkgk8fFUPp8vGAyChq9UKiFKBMdxr9cb - CAQgaEooFIL1YaC+wWkajSYYDFIUBTYLgiAMBgOUPfT5fCgrPhqNikQipVIZCoUgNjthgziOt7S0 - hEIheHFjHDQuFovTW7I1+8GPKnAcD4VChw4dcjgcIpEIaGnsdjtUzwYWHJicBw8ePHXqVGVlpc1m - e+yxx957772+vr6qqiqz2Zw5qUe9vb3gk88iixEC1lKO4yKRSDYtIovJitGwHxEEQdP0N998A8WT - 48MhOY57//33b7jhBrDbZrf4LNKLYDA4VMbW7CTkOC4ajQ5aYzxzkDC3f7SRfgo0vV6fk5MjkUgY - hrHb7Vu3bt2+fTuogn6/3+fzRaNRjUajUCh8Ph9N02KxGApCGAwGKFA+ffp0kiT9fr/RaGxpaSEI - orCwUCQShUKhrq6utrY2iqL0er3f76coCt131qxZZWVl3d3ddXV1oVAoPtEXx/FIJDJ9+nSFQnH2 - 7NmE5yTHlVdeyf8vaLz19fUajUYqlR44cCA3N1coFOr1+ry8vBiKBaFQ2NDQ0NHRIRKJSJKsqqrS - aDTgzK+rq2tra2MYRiKRKJVKr9dL0/RAsTFQOWDOnDkdHR0Wi4VlWa1WK5FIVq9efdFFF2EYduTI - kb6+Pjg/Go3qdLpFixY1NDR0dnYOZHqAp6BpOhqNSiSSqqqq9AbDDIp07ZoQF6BQKLJlYEcJYABq - aGg4fvy4z+erqal58MEHQ6HQI488Ul9fLxKJVq9eXVBQcOjQobNnz9rt9qNHj06fPv2111577733 - XC6XUqncsGHDwoULx/s5MKx/j2xoaHC5XOPdlywmA/hekfHuSxZZjBZomk5I9TRsIDbyc+fOgfOA - /ytypXR0dJw5cwacMVkNJ4v0gl+FLosUIRKJNBpNGpeC0UYoFIpEIkqlciw17XSq2Xh/QbzKykql - Ugk+IoIgnE4nMiFAOQefz+dyuVAkORS+7+7u7ujowDDsxIkTg94rJsgTx3E4kkRhg8XaarWic+J1 - 7BgXdMyvUGwgyeN3dXWlqLefOnUqSTtY0sIJHMedP38e63+i7u5uDMOOHTv29NNPY/2JTOhkkiR1 - Oh1FUSgmPMl9OY4rLS1dvny5wWAYy88m9XtB3sFA2fXQTklJSX5+fjr7N6EwBpZ+hmFkMllVVdUv - f/nL5cuXHz16VCgUQqjINddcs2HDhqampt/97ne1tbW1tbWnTp1qaGhwOp1qtXrBggUXXXSRWq0e - RqLEKEGr1Y4v6UjWMzPJkI0kzGKyAhYru90uFouhMHK65jnHcW+99ZbL5QKyaIz3HeH9bMwej2ff - vn0rVqxANZmR3zsbwpbFCJHdiIcKjuPsdnswGDSbzeOeAzgo4P3SNE3TNL+w+Rgg/UHjOI7PmDEj - Pz/f7/dD9DJJktFolL8OCgQCPu0euhboDfF+Xn604KJijLCqxmc44zguEAj45/BlHZxHtwbJ+nAO - XALHcRyXSqU0TYOHHBqM72H8I6Pgc6QY4//OIoiAOgZciKhX/IfiPw5SmPF/p4jjX8vPDIdwfaFQ - iHoO3m+bzSYUCqVS6UCPgPVXp2RZtqamxmw2owIJCU9OBWmfxNCgx+Pp6OgoLi6GwIeYW8DwNjU1 - 9fT0TJQglrQD5uEoPT4MuMlk+v73v79gwQKDwQCUBPBrbm5uTk4Oy7KVlZW///3vH3300Q8//PD0 - 6dMkSSoUih/84Ad33333tGnTMkfHxjAshm5n7DGq7yuLsUfmzO0sskgvYG6DFTuNCjZBEC6X6/jx - 45CtBqyZXq+XZVm1Wg3sLX6/PxqNfvHFF7fffrtWq4VF2+Fw+P1+iURiMBiyTJZZjASjvW6jlKIx - uNfYgGGYQCBgNpvjtbkMBIz5uPCSplnNRjUSA4HArl27oKwuhmGBQEAkEiVZB3EcdzgcLpcrvl4f - QC6Xh8NhhmEwDJPJZNFoVCqVsiwbCATgEnCRwWlutxuqz0mlUiggBEndKpUK0rwFAkEoFFKpVDA/ - QDudMWNGW1tba2urz+fT6XTQIL8P586dgz9Ap+UrtEMCYseBOG0Mw0iS1Gg0KAxepVIZjcZgMOj3 - +yFjhGXZcDgMNhh4ikAgQJIkJHhDyEBHR0coFCJJUiaTQe4rwzCgsaOBgqY4joPafTRNYxgmEAjC - 4TDEn19wwQU5OTkjXwVg/NPIQAhd0uv1SqVyIMsZ109lP7HSRdIFlOpPkqRcLh+Nx4dhLy4u3rx5 - cyQSaWlp4R/v7e21Wq0w94RCIbwpoVCoUqlWrlx5++23l5SUYBm2x3g8HvgKxgs2m00qlWZ5fSYN - sl6RLCY30ju9IXXu4MGDHR0dLMsqlcorrrjC6XTu37/f4/FUVFQ88cQTH3744d69ezs6Os6fP3/y - 5EmIG+/u7t66dWt9fX1BQcF9991XUFCQUQbcyYTsmjZyhEIhgUBgt9v5ZX0mNIRC4ZA49jIB42Lm - SH9uNoZhMpnsxhtvvPHGG9HDuN1uiUSSnBWmo6Ojo6MDNMP45BxIxgaicq1WG41G1Wp1JBKx2WzR - aJRhmKKiIpIk4TTI3xaLxVqt1uPxFBYW+ny+3t5es9msVqtJkhSLxU6nU6fTQSV6AMdx4XAYKhWV - l5fn5ubGvIwvv/wSwzCBQADqRFFRkUajGaorjCAIyMomCMLr9QKtt0wmKywstFgskLtuNptLSkp8 - Pp/b7e7r6zOZTCzLejweo9HIcZzBYJDL5R6PRyKRoM+1ubn5jTfeaGtr0+l0y5Ytg+KHPT09QqHQ - YDB4vd59+/YFAoFVq1b5/f5QKJSXl0dRVHd3N4xnQ0MDRVFXXnnlhRdeCBR0Q3ooPrj+GoxisTjt - KRAEQSSZRWDlgaDxKbsrjEHhemA6JEmysrKSL9kwDAOWI8R55nK51Gr1hg0bNm/eXFRUBFT8o9q3 - oQL1h2XZsSHYR4AvxWq16nQ6pVI5ZWfsZEI0Gg0EAkA4Mt59ySKLiQGO43bt2gViydq1ax9++OGP - Pvro2LFjHo9HqVSWlJQ89dRTjY2Njz32WG1t7YEDBy655BKKoh588MEPPvjA5XLJ5fKrr77aZDJN - oBzRCYRIJEJR1CgZ7jMcadHKYKM/d+6cVqvdtWvX9773vZKSkslhEppw9pdx6e1o8f2CMxM9Emiz - yaXYwsLCYZAQTJ8+nf9fcPYmTM2dOXMm/zQgFeR3KRqNCoXCkpIS8LmhyHOEiy++eKjdGxJiui0W - iw0GQ3l5ecxpEBgPcjnqf1lZ2YMPPpik8SuuuGLQDqRRPZNKpaO05yX5sKH/LpfL7/en/b6ZD+Tw - H4MbQWRKJBIBzZmffNHb2/vEE0/s3LnT7Xar1eof/vCHmzZtyth9BXXe7XYD2f6YdRJuVFNTMza3 - y2JUwfWXk2hqaiooKDAajZk54bPIInMAuXuBQODbb79VKBT//d///aMf/UihUCxYsAAiDVeuXKlW - q2manj59+t///vc//vGPoVDI6XQ+/vjjH3zwQTgclkql1dXVubm5/BzALNIIhmGcTqdYLM78/Nu0 - A4zvIxRl4VqdTmcwGK699logyJwcIzk5nmK0MSpqNpLCEVIRXlmWHShiHMMwlKeN/oZEbnQQ5XVD - zHDCMyGGGaSf+PRjIB6H7yqh2w0Vyho5oHG+ks9/HLRhoM5gvDGE/iPmD7g8+eil3quRU4nA5Uql - Msk5kI86vBsluQosIxaLpa+vb8KZ2dKFsXxwmKgQXgHfWkdHB6Rku1wulUq1YcOGTZs2FRcXR6NR - PmXA2HQvFeTl5QFtgdVq9fl8Y9+ByZSsNZUBb1CtVs+bNy9LyJRFFqkAdiuLxSIWi//nf/7nRz/6 - UQyLuEQiAepckOt++9vfWq3WV155ZdeuXVCjdPbs2S+88EJ1dXX2o0uCkUgFUqm0uLg4vf3JfCCz - KcMwaakLXVRUhGFYtgjFFMQYVa9NZYKCjpfkBH6iL/o7YfYvv52Bzkx4IWQyD5RRnHaOjfgbxXdy - oM7EDOmgo4eNrQKW5F4QV+Dj9JQAACAASURBVCmRSEaJOGGKR2yOpagBU27GjBklJSXHjx8Ph8Nb - t26FIu1qtXr9+vWbNm3SaDTAwI/jOEmSWq02Q8LPoEulpaUQa1NQUDAu9BhZ0XAyAU/EnZleTFkD - YhaTDzCTSZJ86KGHFi9eLJFIwHQbP8PBC2Kz2bZs2bJjxw5gsZk9e/bzzz8/Z86crCs7OSDJa9hD - NGXXHKFQmC7zDfICTs2RnMoYIzU7i0wAeLzBPZ5wzR35EsB3uQ90DvATkiSZ9hgk2J4LCgogmz0Y - DIrF4rFnQZxq/kkg6mNZlmEYh8NBkiTEim/evJkkyZdffvno0aORSIRlWYVCcemll37ve9/Lz88f - bW1kUMALampqglp3x44da29vh1jf8e1YFlkMBI7jIFNj3D+fsUdWQp18wPs5NYuKikAyGSi1EHhn - t23b9v777weDQYIg5s6d+9xzz4GOnQl220yGw+HQ6XTDloVG/t2N+8c7VKkMzpTL5enqwKCCcRaT - FVk1e0oA0ZIFAgGob6zRaBJWLAPe8pHcaNAWxGJxXl7esG8xEMLhMBRn0uv1crnc7/efO3eupKTE - aDRiY7u6QXLBVEhkgmp2J0+ebG1thVosQqFQq9VCrLhWq3300Ud37twpFosVCkU4HPb5fF999VV7 - e/uvfvWr3NxcbFx3Hfgo5s+fbzAYWltb8/PzNRrNpH9lWUxohMPhxsZGk8lkMpnGuy9jjalmvpw6 - 4Of0JUQ0GnW73QcOHHjppZe8Xq9AIJgzZ87zzz8/e/bsVOL4shh3+Hw+qVQ6jIDQdH31QOSmUCiG - NFuya04WI0d2eZpaoGlar9frdLqYLQ1WE7/fD9Uph9EytODz+VCJtUFPTiM4jmtra+vt7Q2FQkC1 - LZPJZs6cqdPpsDFcJeG5PB6P2+0e94LMow1wrHV3d9fW1tpsNvCwFRYW3nnnnffcc09paemnn376 - ySefzJs377XXXvvXv/719ddfb9++fdasWbt3766vr8+QwUHE7NOmTTMYDNkNNYvMBFgwe3t7jxw5 - YrVax7s7Yw0IGJ6a3JaTHklSLSAMymq1Pvvss7/+9a8dDgeO40jHnpphHcOAyWQar+LGiJiWpulh - bPogZoykCAjcNBKJeDwehmGG1IeE+Qvx7MhD6gxFURki/KQOlmUpihrjUiyTBllv9uRETIgO/G0w - GAwGQ5KrQD1ObldODoZhUrl2GMpMEtY0eFi0gCqVSpFIBEHpY2znhu5Biu/kLi4CCQinT59++eWX - 9+7da7VaGYbJycm5+eabb731Vq1Wy3EcZGuvX79+4cKFoM0uWLDg4osvfuutt3p6eoDYfxwfARKl - 7HY7pPm5XK4xZhrPIovUAdMyPz//Jz/5yXhJzOMIMDHk5OQkJ9fMYpKhqamptbX1b3/72xtvvOH3 - +wUCAXCeZWPFh4Rx3NdQXsBAJyQPgaQoyuFwGAwGiUQyEtJcuVyerghwEDWHF67IMExPT4/ZbI6h - +stw0DTd29ubn58/sbqdIciq2ZMToJTGrFxJAmDgoFarHfYd8VGuJuX3+4VCYcKlFtTvWbNmFRcX - f/fddzU1NVA3e+yXA9jMEmqPkyn6CHTsurq6Rx555Ouvvw4EAjDa1dXVq1evhnwEgiACgQCGYTRN - h8NhoIqlKIokyUgkYrFYQqEQSZLYOI0JvKlIJLJv3z6LxYJhmEQigf5kkUVmIhqNkiQ5NRmVIRd3 - vHuRxVjjX//6l8ViOXLkCNKx42PFUURSvMyTBWDcV4wkej5N06FQSKlUJvTQiMVimqb9fj/w2o7k - QUZOQgbX+v3+cDis1+uH4UoRCoVlZWXDu/s4QiwWl5aWjncvJiomqliZdTolh8vlkslkUqk03qed - BCNXBUfjvUCb4GkUi8UDtc8wDMS0eL1eKPUx9ohEIiAHx/8EFtCBfp1AAJnm/PnzDz300Ndff01R - FN5fXg4I9qCyF0EQS5cu3bdv3+eff15YWDht2jSxWPzdd9+99957SqVywYIFKpVqjL9ilmVpmhYK - hUBLy7KsUCiUyWQCgUAqlRqNRrlcnoGrSqatdT6fTyQSpZHMfzJZoEYJMAcCgQDLsnK5fHzDQMYL - 2XmSCjJtuRgJcBzv6urq6uoiSZIkyZqamueee66mpoYgCFDJYDPy+XyQoiWRSBQKBY7jYNsdaiJu - FqOHQTlxof5I/GkCgaCgoADjFbId9vSGC5MIaSm2IJfLpVLp5A5XjMHUedLRwIRUs1FqxBi/+wmx - gUEnRxJdMxKMxvhAm8CYFY9wOEwQhFAoRGr2qVOnent7gSJojLU4h8OhUqlkMhn/vvBG+vr6GIYx - Go3Djn3KBIAf++zZs08//fTBgwcpitLr9RRFQUo/TDy0gV111VUnTpx45513jh07tnz5cr1e/+mn - n547d27Tpk3V1dUQcDGWnadp2mazaTQaEMX4PxmNxoqKCqVSmWmvJhwOcxwnEokyRF5kWfbcuXMm - kwkCRtLSJpDnQYHctDQ4WTEuETqZg6n87CkCuMQmQbwDonfBcRxKV8yYMePPf/4z5GPDQsFxnMPh - OH/+/L59+9xudzQaNZvNK1asqK6udjqdbW1tNTU12VUlw8Fx3KDh3ChQGYS9Yeu3IIlZrVa9Xh/j - ghoSBALBVCC4zSJdmJBqdigUikQiMplsjO1JI6w9ODYY7eDtQTFKxoiBmrXZbAqFQq1Wt7a29vT0 - hEKh8RIycBxXqVQJ198Jx3iREKBjnzp16oEHHvj666+DwaDZbL799tuPHz9eW1vrcrnQmWB1lkgk - S5Ys2bt3b2dn58cff0ySZDAYFAqFKFZ8lAIfBvpVIpEUFhYm/Mnn89lstnA4nCRcYowBc8ZqtYZC - ofz8/HjTwLgAx/Gqqqp0LYPISQupFlmBeCDAaMenJWcdvFnwQdO01WrNy8vLfEElIWBB8Hg8hw8f - pmkawzCCIMxm8/XXX3/33XcDswwU0AbL9QsvvLBjx45QKKRWqxmGsdlsb7311hNPPHHBBReAjj3a - gzAhXC+ZjFTynJET2263i8XiYfPawiVqtXpISnL8KwZvSuaICllkOCaYWINIC30+39iz3rnd7uGR - JY49xquT0Wg0GAxCRauxQW5urkwmwzDMYrHArBgJD+RIgOO4XC6PZycCfdJsNhcXF4/Ehjq+4DiO - YZi6uroHHnhg//79FEXl5+ffeeedGzduLC0tjclqhp3p6NGjTz31FEVRV1999VNPPfWXv/zltttu - y8vLe/PNNz/77DPw06axh5DiNVSefOiD0+ns7u4Gt2rmfOA4jkPtvcyhvMJxfHh1WQZqDcOwnJwc - g8EwQQOhx3K2xK9sI6fhzWIygaKorq6usdx/0wjYYoLB4JtvvvnII484HA6O4woLC//85z8//PDD - QCwKljgw+G7duvXFF1+sqal56aWXDhw4sHfv3jvvvLO3t/f//b//19XVBVrQqO62kDM8jK8v+aKR - ORtQ6hgehTiGYVarFQL9UmnBZDJptdoR+lHAF5L6+fxXjJLjhn33SYlB5/MU36Emnjcb8kLVavXY - O2zHnSQJqKRGicp7JEBOlUgk4na7NRpNeq3psAEnZBYVCoWw6olEonGv7ZHEtj2h/U4sy4bD4WPH - jv3hD384cOAARVElJSW//OUvf/SjHyXkrgf55v/+7/86Ozvvu+++W265RaFQYBi2evXqysrKxx57 - 7NChQxdffDH4lkc+JjDsFEUFAgGNRjM8J0amvRp8xKyEo4S0O3AmtEcIBIi0RNAMOg7xqSihUMjn - 86lUqolrv8sijVCr1QsXLgQL5oSbDyzLsiz7z3/+849//KPT6cQwjCTJX/ziFytXroRQYRQrLhAI - KIravXs3hmG33HLLihUroLDI/fff39zc/P7777e0tJSWlo6emo12HJfLJRaLhxpCnCQuEmXQTKDX - B5wswxP5wuEw3s/tkgRI/BvJsCAKNCzl7Z5lWbvdLhKJIHAdrsrLyxt2HyYlBhLOAeFw2OPx6HS6 - CWpJ52N46+oE82ZjGIbj+OLFi6dPnz72zPLAhTiOy19fXx+wN48ewuEwEIoM6apgMAhbu0QiMZvN - aaeSAu19oFACFAsEm9M4ZjAmD3yaQBsnH1Aysba29q677tq7d6/f78/Ly9uyZcvPf/5zjUaThAsA - 6ENLSkokEkkkEgG32w033FBRUdHe3g7OirT0EO6uUqny8vImmb4xXqEZSZD24Z1A74v/LuBvn883 - PI9WfMvhcDh1PwkMmkQi0Wq12fDFLDCee/D8+fM0TU8sDxJY0vft2/eHP/zBarXiOB6NRpVK5fz5 - 8yUSCZ+cAmUklZSUGI1GvV4PTxqNRimK0mq1UqkUDO6j91GgHae4uHiogihobgzDxByH19fa2trR - 0QGMbmns8CgBOhkIBNxuN+J7TxEwaNOmTSsrK0syhtCm2+0OBALAgzu8rkajURhVHMfD4XCKwXQE - QZSWlsYssEkuHEY83YQGDIXH4wF6zoS/+ny+1tbWiRIInAQsy3o8nmG83wmmZsNcl0qlwA883t0Z - a5jN5tGrGoqiZ8+fP+/1elP8JOC0U6dOtbe3wyo2Gt8SjuNJbKVwR7vdHgwGuX6kvQ9TEyzLer3e - jz/++MEHHzx9+rRIJCouLt60adPatWvhjeA4DslO8dcSBBEOh71eL5i6wUTlcDjC4XBeXp5arR6N - 3Oz0NjjumLjWmckHYKqP2WXTxQRBUVR7e7vb7R7SHBYIBGKxeApuhVkMBL1eX1xcPIEomiCmNBQK - 7d2796677jp79ixyZshksoQVhkGjW7Zsmdvt3r59e0dHB03TgUDgq6+++uijj6Cwxdhkpw9vx0ni - +svLyzOZTBOrtKRAIBh2h1NUziGWwWKxQMb+MEBRlNVqBQeSy+XyeDwp3jr+nITzCllJHA7HxLJw - jRxIuos5jpLClixZkpllXIaEcDh8+vTpQCAw1K9+In3MCBMxICotGFWKIBhSo9Go1WqHaqBVq9Uq - lWr0NjahUDho9Cya+kgUnpqTJI2AWinbt29/6qmn2tvbOY4rKSm5++67r732WiDlhu1k7ty5Op2u - u7s75nKdTgfC04wZM0pLSzmO83q9H3zwQU9Pz/Lly9Vqddo7POw3DjGH2QmTRRKEw2GHw6HVaqGU - APJopaVxsCSisNjsVESIsZzi2drIAwCppkBWMlHAsizDMB9//PHmzZv7+voUCsWsWbOsVmtHR8dA - l8AEuOmmm/bv3//yyy+3tbVdffXVTU1Nf//733t7e2+88UadTjc2VKzDiSAlCKPRGH88Y7OEkgDv - L3AFBJbDGA273a5UKpMEyaNh4TgOEgGStJYkIFwkEul0OpIkOY4bUtT3kB4qiQFlEgN4E5Jgcuxo - Eolk4cKFw4gdm5Bq9iR4YSNH8omb4rSOT/YWCARD8o3AXaqqqobXgdSReoNer5eiqPjFbkJnR6eI - ND4j+O7ee++9p59+uq2tTaFQGAyGW2+99YorrgAxDmkap0+f5tOMY/2S8eWXX37q1KnPPvvMYrEs - XbpUIBAcOnTo+PHjBQUFS5Ys0Wq1meCqhQ4oFArENDbuXcpizIAyq1M5WSKR5OXlxUiT6VroJBLJ - tGnTRt7OJAMqjAyR+QKBQKVSAUlK5n+n0PkxrnwxsbY5lmUjkciBAwcefvhhu92O4/iyZcu2bdv2 - 3HPPvfrqq/DqoUQZ/yoUUn7ZZZft27dv165dtbW1AoEgGo3KZLLCwsJRSu6DwOOR52hMMg4XjuMs - FovJZBoSVScMwr59+2pqasrKypJ/JjATBmXfTMJbLhQKRzU3GO44ffr00btFpoFlWb/fDwXPYaEb - 6MyJNZ8HAo7jUql0GBdOSDU7CwzDGIYhCCKhSpyEMAzjreMcx9ntdpVKFROIPgzBEWogo6uSd2B4 - SL1LOTk5CoUipkvQq2g0OnryWSZskOkiZILRq6ure+mll1paWiQSyerVq++6664FCxbE2631er1E - IuFfDr+uWbNGo9Fs3bp1//799fX1GIZJJJKqqqpbbrll6dKlGWL0RalffX19oVBIKpVODstrFqkA - AsDkcnmKvJLxp6VxqsQvWVMcHMfRNN3c3Lx79+7GxsZwOCyTyebNm7do0aLKysrktXYzAc3NzeFw - uKioKF0hD6lgoswfMMU6HI5vvvlmy5Yt58+fVygU5eXlmzZtMhgMENzb09Nz8ODBGTNmxCQJAgta - c3Pzq6++iuP4ihUrli1bplQqu7u7d+zY8fDDD8+YMWPRokVpN3BQFOVwOEwm0whDn5JcO1FeXwxU - KtVQU1fgSS+77LJBl1/YkZ1Op9FoHEh+g3P8fn8wGBzotFAoJBaLkwseI9z9p9QazjCM0+kUi8Ui - kSgajU6FBx/e9Miq2RMP8KY7OztFIpHRaIy3INI07fV6lUplQrMr6MAQoGgwGOKTaoYXB8X/L8uy - LpcreSzQ6CEmNxtSvwiC8Hq9Pp9Pr9ePEksWEKWOl5sFbTMMwyiVyhGWgILWDh8+bLPZlErlBRdc - sHnz5iVLlsQ4Z+A0IB6LaQEcDgsWLPjTn/506tQpoAYpKSmpqKhATHUj6WHakQ1GBUwRKwM8JsMw - 8NmOe3pzcm/A1EQkEtm1a9err75aX19vMBjkcrnL5XrvvfdmzJixZcuWlStXZqxPG2bX1q1b29ra - 7rnnniVLlmTgijeOACHEarW+9NJL27Zt83g8crn88ssvf/LJJw0GA5/wDDEnxaxL0Wj0xRdfPHfu - 3A033PDQQw9BwQscxwsLCx977LEDBw5UV1eDny2Nwy6VSnNzc7PpRTHAcXzQsOGB4HA4Bq0RA6Nd - UlIy6DkKhUImk8UvCzB5ent7TSZTcvEP9ORhL8WTfg3nx3+JRKKSkhIYW4fDgUiIE16YCV6okWN4 - /c+q2RMD/DkKf/f19ZEkCexTMe8ex/GEOwHXX4XC4/FA3SOxWDxKHQaf5yg1Doj5btEffGYLyAem - aVqlUoXD4UAgACnB6dUloDWfz0fTtFqtHnsOfATYYEauM6AIqIqKioKCgt/85jcVFRVIx+bnSWIY - 9u2339rt9vhGIIpPp9Ndcskl6OBUYwcZIcChl2IZv7RghHLGRAGeSZmQ4xJdnMmAFbWlpWXjxo25 - ubm/+c1v/uM//kOn0/X09Dz//PPbt2/fs2fP7Nmzc3Nz+Ss5DCPej4TNol1jDGKa3G53XV1dT0/P - QCdMTYDhu66u7sUXX9yxY4ff78dxfM2aNU8++WReXh74sQciGUJSfiAQ2L17t9/vv/rqq1UqFWjj - QqHwjjvueP311w8ePPjDH/5QKpWmdx0jCGL0RKYJjWELVH19fQaDISYabni3gAmQ8Ce4MHlqN1LF - pVIpVOcetEtTEB6Ph6Iog8EAQ42+00EFfpqmoSzfFFz6smr2xACUf4AwbJjNS5YsGehkqPIXfxzm - t1gsRmmoowSBQDDa8ms4HO7r61Or1VCQGcMwyNuB4CKfz4dhWDQaDQaD77zzjt1uv+666yoqKoxG - Y1o+crTiI6kOOiCRSMbL1I33k5GkqzUcxy+44IKHH364uLgYxg0to319fRiGabVaSIED9rv4RtAo - gcMQ65/AU3CdHTZYlrXZbJDZMdrjBu+rr69PJBJNETlj3HUeZKELBoPDLvk++QDvpba2FsOwm2++ - +ac//alcLo9Go4WFhQ888EA0GgX7KVrPYXkJhUKhUEgkEkFJJ7QyI3coRVHBYJAkSZlMxl+oYwKg - APGXD3QCAPrAMAwYOuEn/rIZc0L8Xfi3gHRHLG5yjvuMHSHgMevq6u66666jR4/Cf0tKSjZu3KhS - qeJjOvhPynGc3+/HMEyhUADHPtZfaJphGPCBu93uaDQ6voVXpyCGPdqLFy9O1y0ikUhyaqFUOul0 - OlUqlUqlyoafxAC2KrfbXV9fv3z58pgh0uv1yS+02+1isVir1bIsG41GByI4mJTBdBNMzR573864 - A6adx+MBHzUigUieBJJksgoEAn6I7yht26P3tUCHLRbLk08+OWfOnHXr1kGYWWFhoVarJUnSarXa - bDaGYTwez4cffvjUU08VFBSsWbMmxgc7wj7AA4LpHSS2TLBzp+ttQgsqlWrx4sXQJuJAxjDso48+ - cjgc1113XXFxMY7jixYtysnJ6ezsjOkJvAK5XI4KmWYxVBAEEU+7NXrgOM7lcsnlcpVKNRXU7EzY - 0TmOCwaDTqcT7HSTUs4YHoBYMRgMUhQll8uBJVgul99///0URel0OtgBwRTV1dV17Nix9vb23Nzc - WbNmVVdXGwwGNIctFkt3d3d9fX1zc7NCoVi0aNHcuXOhpiCsVC6Xq6+vDwi3pFJpQUGBXq+H787n - 8/X29mo0GoZhLBYLRVE4jut0usLCQuCch1v4/f7u7u7W1lbgadPr9UDbhl5oOBzu7OxsamoCQ4BM - Jvv/2Hvz8Kiq+3/83jv7vmYmmclkXwiBAAlbwo6yKlJwqait4oJrn1ZLP60+FfVr1UoVrVoLFJVq - RVER1KLgwmIRFGQxLIGQlWSSzGT2fb+/P96/nOc6M5lMMjPJBOb1R57JzLnnnuV9lveem5srlUrh - LSaTyWq1ikQirVbrcrkmTJjQ2dnp9/uLi4upJ4vH42lrayssLIxHAZhugKFoampCPHYgECgsLLzn - nnveeOMNg8GwbNky6BcSUqCzIxAI+Hy+jz76SCqVLliwgM1mjx8/vrm5ua2tzePx9PT05ObmWq3W - //73v3q9fsaMGSmNd5VBshAZ324IQHbLXC5XIBAMrUIguaqqqgQbMyLweDxUV4tUAFkEqFSqSIPN - GMcWfJ+dnQ0yRzjscnJyIjVSwWDQ4XCAEC1l/RgBJIfNHrabARyoKKXKMLwxTcDlcoPB4IULFzQa - jVKpxAZyAhnU4ICrdnLHM9Wzw2KxLl68+P777x87duzmm28eP378pUuXzGYz3EtkMtmFCxfef//9 - d99912g0rlmzZsyYMejkTvztNpvN7/eLRCKLxYLjuFgsZjAYHo+HwWCM7AaR9GGHU5Cq9sFxvLCw - 8OOPPxYKhTfeeKNUKoXwntSn4N+GhobPP/98zpw506ZNuxJ4tlQAT4YLQPzvwqJlDcggdYAxz8nJ - GVSOmaFh1DHwlZWVHo/ns88+KygomDt3rkKhgAiFQqEQeGwQd3Z0dGzatGnnzp2BQIDD4QBz+6tf - /eqee+5Rq9UEQeh0updffnnnzp0Qntpms/3nP/958MEHb7rpJrFYHAqFGhoaPvzww6+//tpoNDqd - Th6Pd/31199+++2FhYVMJvPIkSMvvfTSuHHjAoHAwYMHYfMvLi6+5557li5dCl5IXq93586dmzdv - 7ujoADVAaWlpU1MT7J8kSQaDwQMHDrz88svt7e10Ot3pdHI4nNtvv/3222/PysrCcfzTTz/dtWvX - xIkTP/nkE7PZvHfv3meeeaa5uflf//pXRUUFQRBQ1bFjxx577LGNGzdWVlZi6SEnih/Qhc2bN9fX - 1weDwVAoVFhYuGHDhquuuqq2tvbPf/5zdnZ2bW0tyFMwDGMymXw+nyCIQCBgs9n27t375JNP3nff - ffPmzSMI4oknnjh79mxDQ0N9fb3JZLp48eL333//zjvv0On06667TiQSXZkWqqMLSbwY8Hi8xIPA - j9IYZsjtPNUvIkkyqj5pwBFD1xiBQBAWdBkBFDNsNvsys3lMDpvt8XhoNNowmMtCysErYfekupCR - JAm3B6fT6XQ6wSYq/npij5XH47Hb7UKhMMYOlVb3M1BfKJXK2bNnnz17dsuWLfv27bv55puLi4t9 - Ph+GYa2trdu3b29qajp16pTVai0vL6+srATb8ti9iKebMC8mk8nj8bDZbLFYjOM4mExDBkiwpUmw - j6FQyOv1DhgaJNWI5PGAIKdNm8bj8V555RXQaYOdHioDnzs6Op599tkjR47k5eWBSjx9SCiDGBjt - VqmjEUk0tOkPkDlptBiCwT4zb9686dOnNzc3P/XUU7t3716+fPnYsWNlMhnI2YEZCwQC27dv/+CD - D4qLix999NEpU6acPHny9ddff/fdd5lM5n333cflcl9//fWdO3dOnjz5zjvvnDp16o8//vjss8++ - 8sorYrF4xYoVHR0dzz///PHjx2tqau6///7u7u6DBw++++67Tqfz97//fX5+Puio//e//1VVVd1+ - ++0lJSX19fXbt2//29/+JhQKFy5cSKfTDx06tGnTJpfL9cADD0yYMOHcuXM7duzQ6XRyuRx0ON3d - 3f/4xz/OnTu3Zs2aWbNmtbS0bN269b333isrK1u6dCmTyWxpaTl06NCJEyfKy8uvvvpqmUxWUFDw - +eefHzx4sKCgAC7Qfr//rbfe0mq1o3d54jheWlpaXFxss9nYbPYzzzwzf/58Fou1cOHCLVu2bNiw - YfXq1XV1dV6vF8Mwr9er0+kcDkdXV9fOnTvfeecdp9M5ffp0LpcbCoUKCgr++Mc/2u32zZs3Hzhw - wOPx0On0vLy8e++9t7a2dgQjpGQwzEDGd4lXNUr1ASqVanjMN1K6plgsVl5eXurqHykkymaTfaH2 - uVwumGAlpVn9AcdxcCq+7O+CcMyAHQgwlnw+X6VSuVwul8vF5/Pj7DvZF2e7v/IQ1SPG5gI14OkX - FWn69Ok7duzQ6/Xt7e3r168XCATgpqXVat944w0Mw5hMJo/Hmzp1KgqHGLvCeJKQQSVFRUWRP+Xm - 5g69Mz+Hx+Npb29XKBRSqRRLJzpHpDhlypSjR4+uX7/+2LFjTCYTQqCB0sZisWi12r/97W9ffvkl - mBiNdKszGATSh9iuHAzDmPt8PqPRKBaLR4UhGLo3f/DBB5s3b961a9fRo0cPHTrE4/Gqqqquvfba - RYsWgTOFXq//5ptv/H7/PffcM2PGDJIkId3X3Xff/cknn0yfPp3JZO7Zs6esrGzt2rUTJkwIBoNz - 5871eDwvv/xyR0eHOLGlMgAAIABJREFU0+ncunXr999/v3bt2tWrV8Pt4je/+c3vf//7ffv2zZ49 - Oy8vDw6F4uLidevWLVq0CMOwa665hkaj/eMf/zhx4sSsWbNYLNZbb73lcDiefvrpZcuWYRi2ePHi - adOmrV27FsWG1Ol0YrH4kUceue222wQCwdSpU91u90svvVRfXw98JuT+eOCBBx544AGQ1d55553v - v//+gQMHVqxYwWQy6XR6W1vbvn37ZsyYIZPJ0iE8/mABDV69evWCBQu8Xq9AIFAoFHCX++Uvf7lj - xw4QK9x5551nzpwBoXl7e/vevXs3bdp08uRJp9O5cOHC0tJSULSQJHn99ddjGDZ9+vTKykqn05mX - l/eLX/xCLpdnpLpXIK7kSU8Hj8Wk4LKcxEGw2VE5W/hXrVbHKJN0BIPBzs5OoVAIusSUvmv4AWOo - 1WoDgYBGo4H0A8D7FRQUYH3RpOOpBwIPOJ1OpVLZXxoDFosVe4mGQiGTycTn89MnPA80Y/z48UVF - RY2NjeAsZ7FYUBhSiIDi9/tVKtWKFStKSkoGNAQiSdJisfD5/HgMb1JN5wRBgHmkz+dLz/QhU6ZM - ycrK6unp2b17N4oDZzabT548uWfPnj179jQ1Ndnt9pqamstSPJlBBqMLTCZTJpOl52YSAxKJ5NFH - H73rrrsOHz788ccf19fXHz169MSJE729vXfeeadCoeju7oYshs3Nzd999x2O4xAulCAIo9FoMpnM - ZrPJZLrmmmsKCwsxDIOjYdasWdnZ2Tk5OTwer6enR61Wl5aWgnFyKBRiMBhLliw5evRoa2ur2+0G - 03SNRpOVleX3+4PBIIPByM3N5fP5fr8/EAi0t7d3dHSUlZUVFBT4/X6IeFxZWalWq3t7e8E9e9Kk - SZs3b+7t7e3q6uru7u7t7d2/f7/VakU9hVdPmjSJxWL5fD4mk1lYWDhhwoRTp05ptVqIMPT55597 - vd65c+cOQ0DE1IFOp8NNBgYW7jYVFRV5eXldXV1er/e1115DAeE2b968adOmYDDIZDJZLNb1118v - lUrRiQOWU2PGjBkzZgxUnib5+TIYfozeFZEBwmU5ifGy2V6v12w2i0SiqEwINaRwMBgEuWwym/nz - F7lcrh07dkyYMGHmzJmXpWkQjuMgkQ2zAxmCWYjFYrl06RKHw4nBPQ4oQEo3ZxXQqcrl8oULF548 - ebK1tZWaxAsOWvCIk8vlMUIghiF+oh0Gz/OCggKtVut0OhUKRfoIOLC+vtfU1BQWFp4/fx6+BPfs - 8+fPP/bYYz6fD6ZAJpNNmTIlJycnfRqfQQZXJgiCGHVBs8A6Brb6X/ziF4sWLdLpdPv37//HP/7x - 5ptvlpaWrlixAusLQL158+atW7eCktPn83m9XrVaLZFIfvrpJwhPjaTVOI7z+fxJkyZhGKbT6To6 - Ong8nlgspoYHV6lUbDa7vb3dYDDAbpadnS2RSCBvDZ1OFwgETCaT6kOkUCggSAdUIhaLIUYX7I1W - q/Xrr7/+6KOPLly44HA4PB6P2+1GMdJQl+HkotPp8OHuu+++6667Pvvss5KSEgaD8cEHH/D5/Jqa - mrQ6EQYLOL4xyoxA2LmysrKTJ0+CTRkEk8f6TMzodLrP51MqlTU1NVSFAbDToVAIZCvgwDV6RyaD - DDK4/DAwmw08mMPhOHr0aFVVFcQWDisDZxuO43a73e12SySSxEMRRAXUKRAI7rzzTgaDMepk8/EA - egSBVRKvp6ysrKysLJ6S/YFGo8nl8gQbkyLU1NQoFIr29nZ0KiOQJMlkMidNmqRWq+MhEhzH42fI - hwGR+WPSBHBJEgqFtbW1x44d6+zsRJoHyKAGSTVCoVB1dXV1dTXYYV5+6zSDDDJIKTo7O3t7ewsK - CiDKN3jurV69uqWl5a233mpubgYvIQzDxo8ff9VVVwmFwmAwCNZMGIaVlpZOmjSpvb09Mj0PBL9g - MBgymUwul5tMJsSnUdN3gYYZeMLi4mIIlguV5+fnCwQCpDsF4ykUVxz+isViFosFwoIvvvjiueee - s9vtFRUVU6dO1Wg033///RdffBHmz4VEvfChtrZWoVB8/fXXv/71r7u6ui5dunTLLbcUFBSkm+B7 - sIgq0b755pv37Nljs9nQgQIIBoMwpP3FkSYIIqUJSjPIIIMMhoyB2WzYzWUy2eLFi2NICpEzFSTe - SPUZIBaLU1r/iCNZZslUu6xEKkm3Qx3aU15eXlJScu7cOZvNFvmrQqFYtmxZVD/qqEifbob5YqQn - Jk+eLJfLOzs70TdAtH6/H1n1q1SqNBnSAZG2co0MMrjSANFAPvzww6+++up3v/vdggULgLn1+XwE - QUgkEpFIJBQKGQwGhBeWyWTXX3/9+PHj4bDz+/319fVut5sgCCgGCmSIcAv6gO+++06j0VRUVCiV - yt7eXpfLhVE2AZ/PR5Ikm82m0+l8Pp/BYASDQTBRhmPi7NmzRqMR3LYVCgWfz+/u7jaZTPn5+RiG - QRt++OGH3t5eOp1uNBq3bdum1Wr/8pe/3HXXXXBBYjAYhw4dinGhCoVCIpHo1ltvXb9+/YEDB86c - OYPj+IoVKy4/XznozuzZs9VqdXd3NzV1BXwAua1arQaxxWXW/VGEzOBnEBUZwoiBQXBfYC41QHUE - MTwa5sv+TpwscTWejNBlabh+4BbC5XKnT58ulUqRvR/6FcOw3NxciUQSZpUXu85UNXdICBPqpxvy - 8vLkcnmYIwPSBQkEAo1Gk5S468MGUFtlkEEG6QC/3//jjz8eP368t7fX7/eDX3RTU9P+/fsxDMvJ - yWEymeXl5eXl5fX19YcPH7ZarV6v1+PxnDp16uGHH3799dd1Ol1JSUlOTs6RI0eOHTtmt9v9fr/d - bt+7d+8TTzyxa9cuh8MhEolaWlpOnz7t8XgwDCNJ0uv1/vDDD4FAYMqUKSqVqry8HEJRAqgHChyv - ZWVlY8eObWpqOnHiBPhye73eM2fOgAiSIAhIDgLcNYR8t9lskB87bIennvvwYdWqVQqF4g9/+MNr - r702adIkjUYz2lXZkUBGBFKptD/POIIgFi5cKBKJ4j/QM0guwDh/ZAc//afe4/FcgReJESeMdMYg - QqCl1c6eVo3JYEQANFBXVwd245G/VldXazSakWhacpC2RA5+7wUFBXPmzDl37lxXVxdGOf/g17Ky - sgkTJnC5XCyNO0KFz+ejpiXLIIMMRhazZ89+9dVXP/roI7fbXVNTg+O4Xq/ftm1bY2PjtddeO2bM - GFB6X3vttWfPnt22bRuLxRo/frzRaNywYUNTU9Py5cvVarVQKLzhhhteffXVjRs3Njc3l5eXt7e3 - v/766wRBTJw4kcfjXXXVVd98882nn34qk8mqq6tpNNr58+c//PBDsDmHYOagGKfuYzweD8WFwXF8 - +fLlJ0+efP/99zkcztixY7u7u995553GxkahUEgQhFqtnjFjxqlTp7755pu8vLycnJyTJ09u375d - q9UiWSqO4xwOB8qjakmShPBsHR0dbDZ71apVVIb/cgL4os+fP/+HH37wer1UETOEtcvKypo3bx4Y - jY+KA+XyAyR/lUqlI+gA73a7wV10RN4eG6DR7erqysnJGYYU1mkC6LXRaBSJRKM6ZkTqkJy82Rlk - MPyA9ZydnQ06Vcj/gVECpE2ePFmpVF5+sv90ANyBZs+e/cknn+h0OvBsRGAwGNOnTy8sLBxFpkTd - 3d1WqxXy3I50WzLI4IoGWGDV1dU99dRTn3zyydtvv/2f//wHAqzyeLyVK1c++OCDKObINddcEwwG - X3vttfXr1zMYDHBaWbly5TXXXCMUCkmSXLNmDUmSb7755vr168HqmMPh3HvvvTNmzKDT6XV1datW - rXrzzTcfffTRwsJCFot16dIlgUAAKSowDBMIBLm5uTKZDAzXwXKqpqamsrKSx+NBG2bMmLFy5cq3 - 3npr3bp1bDbb5XJJpVJg8iFnxDXXXHP69OmDBw8ePXoUUnuwWKzy8nKZTAadHTNmzFVXXQVyYbQF - geP39OnTDx06pNFoqqqqUhT1Jk1QXl4emfeERqMFAoHq6uq8vLzMaT6CgOB8I5XYFe4Ser1+ZPn8 - GIAmFRQUpFvu29hIioNqmD1pBlRk2OwMUoJgMBgIBOJxNBgyQNifnZ1dU1Nz4sQJnU6HUVxEpkyZ - MmHChPSUel4GwPvijVdVVV28eNFisaDvSZIUiUQzZ84MuzKmJ5DOBCK3jWxjMsggA4RQKHTXXXdd - d911P/74Y0NDg8PhyM3NnTlzZkFBAWzssLcEg8Fly5ZNnDjx22+/1el0AoFgxowZpaWlUIYgiFAo - dO+9986ePfvUqVNGo1GpVE6fPl2lUoFndSgUWrNmTW1t7datW48fP+50Oq+77ro77rhjzJgxiNt/ - 6aWXINcjYvNyc3PXrl3LZDLZbHYoFGKxWHfddZdGozl9+nRnZ6dUKl25cqVYLHY6nZBIbOzYsZs2 - bXrjjTf++9//+v3+ZcuWrVy50uv1isVi8Bi/+eabJ06cKJfLw3YhkiThmxUrVkC0jjTfUYcGFPJN - rVbr9XqqYREoumfMmAEDlcFIgc1mj2C2AsTEjlQD4sTo4rExDAsEAgRBDNlIBJ7KyspKdrsuH2TY - 7AySDJR0zWq1SqXS/vJ1JwVwAE+bNm3nzp3AZkMD2Gz27Nmz4X6TQSqA43gwGGSz2dOmTTtw4IDd - bqcGe0fpx0fRjVAsFvdn6OX1eul0eiJMOFi3jqLRyCCDEQd4Ncvl8iVLlixZsgS+BHNi6mqC/E8a - jea2226LWgZ46YqKirFjx6ICYb+OHz9+w4YN8E3Y46FQCIJ7U9tGkuTEiROpX/L5/Ouvv/6GG25A - BTCKrzWw4g899NBDDz1ErYdaQ0VFRdhbCILw+Xz79++n0+nTp09P6WE6soADRSwWT506tbGxER0o - YJum0WhmzJjB5XIv1+6PFowi87QhAPIUXB4djOfKAbNpNpuZTGaCYXQub8JIEKNM7pJB+gPvS7qW - m5ub6nMRKi8sLBSLxeASDKLE3NzciRMnwpeZxZ8iwMAWFRVBWBr0JY7jdXV15eXlaR7CLQwxziSD - weB0OhOp3GAwQCjjDDLIIH6AbCsQCPj7gEUzUIRifr/f5/NFLQOnA7WSyF8hfRfYYVELwK+RscpQ - ri9UDJ6FIGfwCIrXBfqiUB8gYhC1WngL9RVwUdbpdC0tLYsWLaqqqkpPW9nkoqqqislkooEFi4M7 - 7rhj0qRJGWujEcdlTH7BYLC7uxs2h1EN2EbMZjOEYxywPIfDSdwV5TImjMSRYbMzGEkkyIbhfbnB - EVMNSVMnTZqUl5eXCUmaUsDgT5gwIT8/Hxzq8L6U2rNnz87Pzx9dOy+fz+/vsMnKykJOmEMASZJa - rRbywSbQwAwyuBIB6UuYfejPJhOSJ7NYrP7K0Gi0GJXQaDTw6wZxWFiBqOJa4ADRvziOQ3AmeBGt - D+hBHMfRl+BO1V/SbFQewzA2m33ttdeuWbNGIpGMrh11sIDRmDNnjkgkgm8g+JlQKKytreXxeBmh - eQapg9/vb21thfB7I92WJADyMsQug1Ril7GZTDogYzSewUgChPpDjqsBfB1BEEuWLDl48KDFYoEY - OfPnzy8qKspsHCkFDL5UKi0pKREIBF6vF/Q5paWlY8aMQYx3f4+nm5VRjMOVyWQmUjOO45MmTUqk - hgwyyCClgOWv1+u7uroKCwvB1XBkNyh4u0KhWL9+PTLUuowBcT1KS0srKiq0Wi1Edw8EAiUlJWPG - jBmeTLEZXLFgs9mzZs0a6VYkAXhfbOA4y6fbTezyQxQ2OymDju6sUBs1awXelyMRPqB/409unIi0 - aVCPUwuj1qJfUyH0oo5JmBUrchgLawy1Gcg+Lf2XDbTf4XB4PB6JRBKmSARzu3h6AfVUVlYqFIqG - hgYMw1gsFp/Pp9Fo8dMVGmpqYfQN1WEv7CmM4oMX57uGhrChoFImlSypaw2jLLfYDYvR99itQr5z - 1DdWVVWp1erIZ6ltDgQCHo+HxWKFxagbQbpN6atH6iSL+l7qrhv1V/gQRv8ZZHAZA91NIXLnSNF8 - 5KoEa3Y6/YrQiED3J02adOjQIbfbjWEYjuOQGBLk6Zm9KIPUIcZhR5Kk1+tNtzj/MY7y+A/utOrR - ZYnobHaColOY9a6uLp1O5/f76XS6WCwGjZDD4QiFQhwOx+Vyud3uUCjEYDA4HI7X6xUIBAKBAEwd - ojLDOI6DyxMoP4fG0sQZzQga4PV6wQYsFApZLBalUslgMLhcLkmSTqfT4/GQJMlisQKBQFIy7uI4 - 7vF46HQ6cJhQP/wbDAaRXYfX63W5XCwWKxQK+Xw+4Eg5HE5OTg5JkhBHJP3z10HzRCKRSCSKdIv1 - eDwmkwkYZrwvhIzT6eRwOHDh8Pl8YLOH98UbLykpOX78uNls5nK5cCpTHeciATUANw6m5jiOo7Ar - WJ+0AmYWudXBs0gIgjh5WC+pY7OhfmgGdW1Cg5HjH4j/USOhX9TgZFERttzi7w7sFUCEoMoWCATj - xo1TKBRAlhhlP4E64Uun03n+/Hm1Wg0Z1+B7WNepptv+jh+73Q4rLhUNGLBOJAoZLAkNWb4DExG5 - z4PQhLpDDnZAokoAUSVRN/YRTBJDFV+iL6llYnc/Kl/U31ORkovInSTGu2KM4ZARufdSEdaXqAI7 - avsHLNxfG6ivo6I/woh8XSJAM8JgMPLy8kZQbwxe6FQbThzHo+bLiH26AajbeOxZjrFgIwtTy8Su - nMoGRH088kEcx+fPn//GG2+YzeZQKCQQCObMmQPxmeLpQozvI6krUh4d9n2ClBDnck4p0pM/TE/E - GCK/39/d3a1SqZhMZvqMJLBLNBrN6/WCVwX6KX0amUEUNru7u5tOp0skkqGZSsKq1mq1L7300qef - fupwODgczrhx42QyGY7jFy9e9Pl8ubm57e3tkG6Xz+dnZ2cbjcaSkpJx48aZzWaPxxO1ZhqNZrFY - fD6fWCym0+lDu2r09vbyeDwulxu7GOjoDAaDQCDgcrk+n6++vn7hwoUSiaS8vNztdjc1NWm12mAw - qFQqbTZbUuIb4Tiu1+v5fL7L5aLT6T09PeCYBHy1Wq0GxtJoNHZ0dEil0mAwaDQag8FgMBjMzs5e - sWKFz+ez2+1Lly5FJ1PirUopoh5jRqNx3759X375ZXZ2dmVlJbix2e325uZmjUYDLmo6nY7FYkEf - QcrQ29sLj7vd7u+//x4JPqLq6wiC0Ol0bDZbKBTCWZ6Tk8NmsyHYFYvF8vv9AoFAKBT29vYyGAyR - SKTX641GI9Lf0ul0DofjdrvhRcDYg2NPKoYdTID4fL7FYuHz+Twez+12BwIBg8HgdrvVajVBEB6P - h81m9/b2ulwuaCSXy4XFZbfb+6sZx3Eul+vxeAKBAOJ4ORwOjUYDQVjsK5Tf76+vr3e5XHDtgzyx - nZ2dGIZ5PB6z2RwIBCCLrEgkAhGA3W43Go0Oh0Ov14tEIhqN5vF4nE5neXk55K1N+uhRAYK/SML7 - 6aefOjs7FQrF8K8akiRhBKxWq8/niypkjAocx/l8PpgGRDKHwWAQ5HFMJhPdhkOhkMvlYjKZFosF - 4otSRZYEQZhMJqvVmpWVBfELLRaLWq0GASh4yVLfEgwGnU5nMBj0+XwEQfB4PL/f73Q6eTxeKBSC - ECzwUh6PR6PRXC4XVYoKH+RyuVQqHZH4RoFAQKfT2e12JpNJp9OZTKbL5UJTAH/ZbDZyuHW73SwW - C0iUJEkIM0Oj0UCy6XK5vF6v1+uFLQWEwjKZDL0OYjvBQoNswCCoZTKZPp/P5/PFaCqUJEkSqk0W - lYJFSX+1QTQv5GMMjQSJHnDRMGggC/b7/bAxgiQUznEk46PT6VHlfUgGF1VUTc3hBEJkeDuGYdAw - aHwio0GSZCAQQI7WIxVni+xLC9zZ2Qn5sWPzeGaz2WQyUROAAXFSnwIhNdzF+2O0yD5tOZLywwrt - jypQjKhgMMhkMuH6AaqLyPKoQiAYtKyA8mFlob4jVn/ixIkymay7uzsQCBQVFdXV1UHjY+yKQAxh - lEDtGowP1AD0DNpyKEan09lsts/nA5UGqiHBFGKBQAD2BxzH6XS61+uFuAAwI1wudxiIzefz9fT0 - qFSqjNV9ImAymWmVuQb4LJ1O53K5VCqVzWaLvZOnFSJ3qssbP2Oz4Urd3t4eCoXGjRs3hDmDXezU - qVPPPPPMoUOHbDYbhmFWq7W7u5tarL6+HuvjfywWC1zKW1tbv/rqq8S6k1o0NjaO4NvPnTuHPuM4 - 3tXVhVGsxy0Wy3PPPQe/7tu37/HHH1+5cuWoS4AB96ft27e/8MIL7e3tYRoeqsQ9xqFrMBjWr18/ - 4LuoNcjl8tzcXD6fr9Vq7XY7h8Px+XxCoVAmk3V1dbFYLKlU2tnZCYIheITFYgGvC6e1RCKB8Dnx - KBmGgKKiIpIkhUKhwWAQiURisdjhcPh8Pp1OZ7FYysvLMQxzuVxcLlev15tMJnhKLBarVKre3l4k - g4gEQRBisdjj8VBFRUKhkEajOZ3O2Fd/KmA8TSbTK6+8snXrVhzHHQ6HwWAIBAJOp1Mul8MQYRhm - sViMRqPX6xWJRHw+n8FgeDweq9V63XXXrVu3bsyYMQnem2Ojq6tLLBaLxeIU1T8EGAyGQ4cOnTx5 - 8ujRoxaLJc6+A39SUVFhMpl0Ol0km+33+x0OB5fLRQJTuODa7XYej6fX69lstkQiQacdSZIMBqOn - p8dkMuXk5AgEAoIgent7p0+fXllZaTKZOByOQCBAy5AgCJfL1dzc7HA4LBYLQRDFxcVWq7WlpaW4 - uBiErcAX+f3+/Px8Lpfb3t7u8XjCzDFWrlx5++23gyBp2PYr2DYbGxtfffXVkydPisViWFbt7e1W - qxXv883x+/1KpVImk3G5XKVS2d7erlAolEolhmF+v//YsWMdHR1sNnvKlCm5ublNTU06nc5gMPh8 - vjFjxkCoiNmzZ6OX5ufnw6KADLSwvoqKitRqdWdnZ2dnJxJ1RSIUCuXl5fl8vt7e3jgdauIZgfLy - 8uzs7P5qczgcPT09crkcbI46Ojq6urqYTKbH4/F6vcFgUCKRlJaWCoVCj8fT2dlpt9vVarVcLvf5 - fE1NTX6/3+12ezweHMfFYrHX60W8DbVfQKJOpzOy+3PnzkWfg8Gg1Wpls9lerxfH8UuXLsnlcqVS - qVQqs7OzhxxAIRAImM1mlBZ7aJUkC2FsXlSAVG7Tpk379++fM2cOmNfRaLS2trasrCxqVsI5c+YQ - BKHX681mc0FBQdQh8vl8ZrNZLpfT6fQLFy7IZDK73U6n03NycqIygQaDAXhmk8mUm5sLd321Wg0U - Qm0kQRANDQ1KpdJkMtlstkAgwGKxQLNqMpmCwWBFRYVSqYTM4X6/32QyCQSCYDDocDhAKge6/YaG - BrPZHMOuAbYpDocTduHx+XwWi0Umk0FHTp06BQeQVCqVyWRnzpyB0zwYDEql0rKyMtid4NYKoJLf - oACtslqtp06dgkjOsHuoVCoQQ7tcrgULFhQXF6ea02YymTk5OaOFAcsgToRCIZ1OB9adXC4XRQ1M - c8Ch093dnZ2dPaCy87JBQn7OkYDaHnvssbfeestsNiPxM7IFRRZ6yASXau8aeyNAQvQERdfxPw6F - 4b1gm4EaAECS9aQA1YbkvlSbK3TzIyl+y2SfaS5s1mAk7PP5rr766meffXbChAkp5ViSC+isx+O5 - 4447du/eDUoboBNkMo0oCo0DPEs16o6zy8iGOexZjDL+Yd8AAWARpIgmLrn0EDY4Ye2B76GzVGs3 - dB1B/YoxIFHX1KC6E2ZOHwYq0Ya1OawXBEHIZLKXX375xhtvTKnp+IkTJ3JycnJycmBkYLPS6XS3 - 3nrr448/XlJSMpwegNCGt99++9lnnx1mKV7YFFC/p85XbCVS4m0gSXLcuHEvvPDCokWLUvSWqICR - f+KJJ/71r3/p9XoqfaYOMpnM6/U6HA7Q/oH6Vy6XC4VCq9VqMplit0EqlYKUJIlNVSqVPB4vKsGD - gYzD4eDz+aCFs1gsZrOZwWAA/4NhGJfLlclkoAm02Wwej0coFPL5/FAoZDQaUSIuKBkIBKKK7aij - EfZTcXExfMBxPBgMgs8XcEdgKMdisW688cbf/OY3Go1maIddMBi0WCxcLjf9Pa2wvgPrzJkzS5cu - 7ejoiF24qKiIRqOBO4xUKo3sHcjd3G43sLV6vR4sm+h0ukgkiro5OJ1OmCaPxwNcsdPp5PP5wO2j - ksD56/V6EEa7XC6/3w90AlYhJEkqlUo2m11TU1NTU2M0Gr/55puqqiqHw3Hu3LlLly6BRAbEWzEU - X/AiWFNg7oGa6vf7PR4Pn8+Hf7VardfrxTBMJBJxOBwQh0FhIGOr1erxeODiAd8j8hssoFUul0uv - 12MYxmAwBAKByWQSi8UMBsNqtbpcrt///vd//OMfpVLplaPWyyAVoN4MM0hDxBtXIx4GBi7rwWCw - qanJbrfD4SqTyZB7Lda3wZnNZtjvMAzjcDi5ubmBQKC1tdXtdjMYDJ/PB4kxkHEdtRlg0QcOEhDc - OKrnUgzk5OT4/f7s7Gw6nW6320OhEITgcrvdzc3Nfr8/KysLNDBwF3c4HGazWSKRXLx4Mc5XgHVc - ZNgSsC2kdj9Z8Pv9drvd6XTCIXH+/Pmenp6JEycm9y3DAJ/P53Q6vV4v2JUJhUIQxMK5BdabcF0j - CILNZoMVJcgXwDrL4/GAjRyoBcDmPBAIwJhzOBwkvwCLMqgw0ux2UAAXaPQvtBaWAxhVwtWQeoQD - bwnNg+sj0jZEEjZYfQNDi7h9WBokSbrdbjqdHiaxhvZAw/C43V8DgQDyo+4PQNtIzAHXX5CAAJ/P - YDB4PJ7X66WmmwbLUuDqCYKAMYHRAMtDvV7/f//3fxwOZ/ny5Uk0iw1DdXU19V/0Fp/PBwOVipf2 - B7gL/vDDD72NyHYuAAAgAElEQVS9vSBFimp7OVhwOByYCzTCBEGwWCxw4goEAmCvgfIDc7lcOp3u - 9/uRjSW0BMjAarWi6YhzXqhLCe/fgROI7ezZs3/5y1/4fH5tbe3wiAVBVPef//znww8/NBgMZJ9V - c9RGhoG6fgccjbACoCiDdQ0LmUajWa1Wq9WKGtDfs1jfJjDYaFixG2kymYxGY1iBsEfsdjv6F7ZN - 1Au/39/T0xNWIbKmAaCS6HMk0GiEfd/a2hpZGAkHQeKwZcuW0tLS1atXx3kTgN7ZbLYHH3zws88+ - w3EcDalUKl2yZMltt91WWVmZnlw3HF4bN24EnTNsWVQfOurctbW1oQepSloEtM/39vbC4CMu2mg0 - YpRwqlShG6ofGUBFGikgoDIgSYEdDwYcXtHR0bFr1y4oc/r06bC2eTye9vb2qDWjxiOhcCQZh0Ih - 2Fqpj9jtdofDgVGokUrG1PUFr05cdB4MBsGHwmw2I9eJF198cdasWYsXL44z0wqMvNlsNpvNubm5 - Q7M2TUOSjoHYSoKogKHmcDgDDmnSuVMY3gsXLvj9/pKSkmT5ww/YzuTOKTBZ8TQe7t6IDkcddQ0b - Bj6wYex6e3s9Ho9CoYjn7EEF2Gz26tWrV61aBewQeKyxWCyr1Qo8AEmSHA4H5Hl79uyxWq1FRUVn - z54tKioqKSnp6ekBL3E4VkEu29DQQKPRKioq+Hz+Tz/9xOFw8vLyGAxG/DwS6ASAfwP2gM1mw6Vf - r9dDGCccx0OhEAgIgFsAi+J4hotOp/f29gYCAalUSm1YZPeTArgQt7a2btmy5eDBg1B5WErPkQI4 - Isafr4ukxJaDb6ZOnVpdXc1kMv1+v0KhKCgoyM7Obm5uvnDhglgsrqurc7lcbW1tYAaclZXFYrEO - Hz7c29tbXV2t1+tDoVBZWRmPx9NqtWfOnMFxvK6uDlpFEER7e3t+fr5SqWxra/vxxx8dDgcx+NB6 - JEkyGIysrCwIKwDEj2bfaDSOHz8+Nze3o6MDx/GWlhabzQasL4/H4/P5kDzmxx9/1Gg0paWlwA// - 9NNPAoEAgvHAAty2bRvY6NpsNqlUarFYWCwWEGogEDhx4oRQKCwuLkb0BraC4Hzb29vL5XLjzPwM - 0QF4PF5/4wCyA4VCEQgErFYrGOw1NTUFg0FQm4Cx/aJFi44ePVpfXw8nZSgUgmgCEASRwWCIxWKb - zQbMnlartVgsJEk6HA6bzQZc0KBmIXGUl5cPs2M2jLBWq21oaIDuYxjG5/MFAgG4rIPAEdFA/DUX - FxfDODscDlAcsdlsjUaTnZ3d0NBgs9kKCwvBlBTDMCaTCSTa1tZmNptFIhG4EIOWEsOw3bt3W61W - r9cLVrWBQIDH4wGl9dcqYIEgjzFczZFbL0i7mEwmiC+B+2ptbdXpdMPDYyMYjUYUB4TJZIILOvxL - EITP53O73aCjAxYFJFloOsDECfHMcBfncrlAusE+RGVvIoE6jtYd8E7xnBRI5oWeZbPZfD7f4XB4 - vV5gX0FXDCca1UMezL8hmzSy2EKyNjqdDgEOIRE01mfVDOdL1C0CKATidaN0D0MGCCAiXwEUBb1w - Op1tbW0WiyUrKyuelYLWXUdHB4vFmj9/fklJCXiVnz179sMPP2xubl63bt3kyZMHrCRy1lALB9XN - wQLvsxLCMIzL5QLdwklEpZmooxdWD8QFIEkSaAOijVBlSXw+nyRJl8vFYDDgmhQje/mguuB2u0Gf - PDQioTYeSAJWH9wVMQxDfYFfYS+CKx+Ylw8oUHa5XCBUQqL52O0Z0JsDpAxUaftg4fP5huybRvVU - T3OQfZloaDTaoJwfA4FAb29vPL7oMKdJN9pXqVShUCjBJKBUDEHWkAgcDkdra2tZWdmAvF4gEOjq - 6srJyQGt5yiirmFGXGw2hmENDQ29vb11dXU5OTnYQJIV2IVJkpTL5XV1dVVVVVRBIxgLUWuAFXXD - DTfA4/PmzQOqGjNmTGT9NTU16IwpKSkZMv3BS8GRCWkz8vLywg5OKCMWi0mSjN//AXxl+0P8Ge3i - BEmSZWVl2dnZer3+2LFj4DIHF8SRJXqv12swGKRSaX8bZWQLQdsGpwiTyVy0aNHdd98NLCKKcTJ9 - +nTy50FTqGRQVlaG/VzujuN4ZWXlggULsJ9bZNTV1cG/JSUlV111VSI3QjzCtha1DZm4Yz+Pvova - huP4tGnTwroQRthPPfUU1hcFGu/LFo6uCOCsGCbOoEZaGvA+QX0qnsKodxiGBYNB0Jqi5tFoNBaL - tXr1ahQvB+vTvaMrINzY6HS6Tqe7//779+/fH78feCoAJq8JLhkUECh+7QQQPCKGuXPn3nbbbXV1 - dRDNDsx/BtsqJG1BN2YgDyAGYA6hqVjfjg3TEaLEsUdk8H//938//fRTW1tbSUkJsMdFRUVgU9pf - q0KhUENDg1Qqzc7OBtbaZrPp9XrwSyQIIjs7+9tvv924cSMIaKjrYthAXYDZ2dnPPPPMkiVL4CcQ - kHV2dmo0mlAodOLECYIgpFIpRN+EUQK7G6lUimEYRD4jCEKj0cCZAuJCj8fzww8/xNMYoAHYK/A+ - SZbf77fZbDFGBkpmZWUBPw/yKUg1XF5e3tDQoNVqpVIpi8Uym802m23cuHFcLrehoQFEiqFQ6Pjx - 442NjRqNpry83Gw2i8Viu93e09MjFAqFQqFarW5oaHC5XOPHjxcIBMDcGgwGOF8i7/rAkGu1Wr1e - X1hYKBAIEtQEbt++PfJLGPzz589DzJdQKARyOplMNlj2r6Ki4sEHH5w6dSrWF4L0/vvvP3To0Hff - fTdu3DgQMyHBJbWbYXdfJH3A+jTzQ2BEB7vM4RV1dXU333wzQRDBYDAnJwd8reECFnX0wmrQaDRd - XV0+n2/evHlsNvvAgQPUGLQEQSxatMjtdh86dEitVoO8eOLEiVQP8KGBTqcfOXKkpaVlyDWgxoOS - PBAIzJw5EyzSIU4q6gtsQeXl5RwOp6Ghwefz1dbWstlsHo8HLuX9LbF9+/ahsCYWi0UgEMTgynAc - N5vNMcqADqa1tbWlpQUaBkFG4+wv0IZCoQBzyzifQq/GcRxWLlD1qIDH44FURFjcoisWi5Wfnz8g - g43jOGytEAQ0KfdkqCTBsHlUoHZiGBY1I08qEL9cg06nKxQKJM4wGAwymSyJ8oXLBgOz2bCeZ8+e - jRiG/krCbkUQhFgsZrFYLperp6fnwoULc+fOFQqFYdJftLkA6VCvWYgh6e9F1AJDuJ+h2xVG4aWx - Ph6Gun+FlUncdiiMh08iSJKcMGFCdXX1+fPnDQaDyWRyOp0jLlhis9kqlao/skFzh37FcZzL5YJ1 - K1jvFxUVITUsdd6pU4P9nAyoYxtGKlELDEhv8SDycXRnitE2RPmRbYhK2CBoQCJYVADOoTD6REFc - 0Yd4OhJnYWoBqrk7tQCbzY7nNlZYWDh27Njjx48bDIZ4WpgiJHjWwmza7Xa32z2ojHrU99JotOrq - 6vnz50skEgzD4O/QGoNF3EuAopALBvbz3RgYJPznfv7wWSgUzpw5c+bMmZF1xkBtbS36LBAI5HJ5 - UVERhmFVVVVQT25u7oEDB1pbW1FYwREBMCTFxcUFBQVgHoL3KQk1Gg2UgQ+DIg902YotcsX6JuvC - hQtGo7GsrEwulw/2XVGB47hCoUCfqdK9sWPHomK/+tWvQCcMmucwloNKKtTdLPaFL54ycWLVqlX9 - veKRRx557733wPp3CIC2gWM8qjM7O3vcuHFHjx5taWkxGo0qlSoQCFgsFh6PR7UGcrlcBoMBwuZh - GBYKhSADpc1mIwhCIpFAopbBdj+eCDUAKAYb/q233nrDDTeAqjbMxKC/0QsbBLIv/jaO4/fdd19Y - AThrHn74YSiTrJnFMOzmm29OxKwv7A6AUc5H+On+++9H1IsOTXgjsgyP3ZFbbrkF1Q8sdGyXjdhl - YH737Nlz//33GwwGv9//3XffzZ8/XyqVDkrCMoTBh0eS4pE0PIB2ZmVlYcnYDKMC5IxJr3ywwrIB - McyWfTweD/SXA5aE6zr6dxRR1zAjXi+v+JUzGIZBDNJQKCQSieRyOdw4wyYg8t8RSaRBbQYEq0Sh - dCPLJJHcU0SOyMaJakA4gog9rT6fD8XXQfe55uZmCAUEz6L0MJkFjBA5FGi4RqQ9WD+RtOIRgSX9 - TBoy9Hq93W4Ha50hAHqB8sDH/wibzUYJokiSBG0zNSDf0BoTOfhUgWZYAaIvvy51OqgywTA50dAa - Rq2EIAi73Y5CeAwzoP0gzkPf4DiOAnaidlKv8lGJOeyuTx2ZOHdgGI3c3FypVIqsf4fas/Cawz5E - EgZEtYAvgUmjdh/7OW2gSgZsJFQV/whg/RBVVN0IlIeoXZGizEGBGr4RMoaCK29eXp5IJCIIQqfT - bdy4cerUqUuWLEGOEidOnHjttdeWLVt20003MRgMvV6/d+/e3bt3//jjj2w2e9asWXfccQf4Og1q - pRiNRhQWOzaUSiUKuiGTyZCFRZikNR7NEiJyeBbErNRmo++pLGtSSBRoL5Eawo6PsG0zzFcfmg1j - groQuyPUGiC/QGzELgNzlJWVhegWkhFQbYgGRCLHZVZWVpqctinFgH3EKWrnpA9IEiuEqhJJzZvI - eR1n/ejI6I+6qMdof3fXFDUvTTC4YCqxgYYSbSJMJlMkEg02RNnwg+yLMh8WsG20gOwzJIYQaCPd - nHhB/tyfEG66Y8eOBRdZkiS5XO4QLGavQIz4+ERtQJytIkkyapDhYUZPTw811tfQgPdvfxjjEbzP - vgaSn8ESTlBl1N+zkVx0PI8khcCofDuGYbC6UTy/4UdZWZlYLO7o6KAmLKB2NsZYhSER+gcIBIIk - WhvGbkDUqUdEGLXYkBVo8WDIzoeJkCUQYU9Pz8WLF4VCIQRF37Fjx/79+8ePHz9z5kwWixUKhZqb - m7/66iuHw1FTU6NSqVCO6Pb29m+//XbGjBlKpfKFF17YsWNHdnZ2dXW1z+fbt2+fy+V69NFHwest - /kbCNjgg54njuEajQQZNeJ+icmhqgDAtgsvlghTxkdqF+JdDUtDZ2clkMsERIE5Kjj0CYUt7sL2I - 52jI3FWSi5QOJmikRoU78ZDpiuyLUjaozSH+d3k8nlAoFDvgXCAQgN0JYh9SSwLPwuPx0iGSVOqQ - TDYbMXtWqxXcLL1er9ls9vl8ae4NAlRVVVWVrAvlMAPajEIHjxaAHi9swGEdRt75Mrj8QPY5Jzsc - jiQGBRwaJBIJpH5JEIMlWhQmF8OwYDBoNptdLteVcF1DzuEjhfb2dnB7S5Mz/kqY9Kiw2+3ggTmo - 7qNAXEObPtDDHD9+/IknnsjKynK73RAUkM1mL168eOzYscjRGotmHQZXHZIke3p6Tp06VVpa+sQT - T1RXV+M4vnnz5r1797a1tVVUVAyqRyqVKp7yJEn++OOPEFAwubFUcRyHEQB3lZGiRmjJv/71r+zs - 7FtuuYXP54+goRYCdTRg74oMsjWEERtOq8Mrc3uJBCJ1iPSZ5pz2kNsWCoVsNpvZbJbL5UlXH5J9 - YdVtNltNTU2M6EvNzc1qtZrP53d3d0skEqq9cCAQMBqNEIAznacgQSRZmw2q7KysLDab7XQ6IVTp - aBEBpsllawgAbUBra2tXVxdEw4rzwai2jokjkQmlqrb8fj+KI5qkpmWQRsD7AsBKJJJU27wMmPzP - ZrOh3DPDBhzHs7KycnJyeDyey+UagjK8P6TaWiwRQKtUKpVareZyuQPGQ04RVCoVONyOuCUFID0n - K6VAgrZB9R2eqqiokEqlEC1/CGAwGEwmk81ms9lsCIkvkUi0Wq1Op9u3b9+UKVMmT56MOPkY8nfw - MsBx3GAw9Pb2CgSCZcuW1dXV5efnD61h8SAVTmFoVWIjSorQr1Ao9Mgjj9Dp9EFFmR4GAO1ZLBan - 05mTkzMEDo3NZqe/gScVyb2ApcN1DhoAgY3TnMdOEE6nUy6Xp24RqVQqyAbaX4FQKHTu3DmZTCYQ - CBQKRRg7zWQyB4xXdxkgmWw21sfvVVZWCoVCo9HIYDDikUTGH/kjg6iAS0B2djZ4dsWj0wZZPpKF - o7sCtQAW87gFk+/IiUOR5OKZ0NhltFptU1OTx+NJh6jpGYxqGAwGFFc2agHkET1sgCXW3d3d2dkJ - CcZpNBqfzx9CQtRIgG0Lk8lM2yvdiC9np9MJW+XoFbCOdgANDNZlFM4CpVJJDcAzqJfiOF5cXJyX - l3fhwoWHH374pptugu+bm5vfeuut7du3v/322yqVCoL2YRG+39R/xWJxSUnJF1988Yc//KGqqqqq - qqqurm7ChAlSqXSwFD7iKwIwss0AQ0iPxyMQCNJwYcLgSKVSSDQ7hGfHjRun0Wi0Wu1w6rETgd/v - j5rTfgjozwpgRHDZx8QmCCJOA5khAKqFuPf9vcLr9TIYjOXLl8NKSTw9wShFSnYxJPoFY4DYmxFJ - kpBwOBUtuUIAoy0SieCOPmB5iPvi9/vdbrfT6YTrJjUYDNaX8jo24xHpUgt5TXw+X1KisoM2e8TN - iTNINYbh0FWpVLGDk0kkkjhTi/cHFGsgzvsTko6BSDgQCPD5/EmTJuXk5CTivQJvR6b46XyZQ30M - BoODym2TFBQXF0NasjTRZmcwKAyZsJGPFSTwA7U25LQrLy//7W9/W1FRceTIkcbGRixa+NywYCIi - keixxx679957xWLxsWPHNm7ceO+997766qudnZ2po6vUqeBGnP/p6upyuVx2uz2d9y4cx4csAvB4 - PGCjl9wmpQLQSHCmSNCAAp51OBw9PT2JpA3PYEAkK0JhnO+K8X13dzdkjoxRw4hvOMOAJGuzqSAI - wmw2X7p0CbSRqXtRBmREaqjYhX0+H4R+OX/+PCREqaqqKisrU6vVKEXhpUuXLBZLYWFhf8yJyWQ6 - cuRISUlJaWkpilXr8/kaGxtbW1urq6tzc3MTWUIkSYrFYoVCAXGYr4TVmEFKEZuEXC6Xz+dLhMwg - eTjUEE94fLwvzh8ypvJ4PD09PU6nE5IMDa0x8BSE1ErzVYN8a/V6fUNDg8lkSlY6q3iQ5oNz5SAR - Oh8awFcFUuYiMS4IXCQSiVAo7OjogO+zsrJ4PJ7D4aBqAlBGDwzDQqGQQqF45JFHbrrppu7u7oaG - hn//+9+bN2+WSCRr1qxJkblmQUEBRBdPes0jDpVKxWAwxGLx5bc8YcPn8/mQ9Av09ukMmAKlUknN - v5BIVQKBgMPhpIk2+3IF6LpgkFM9zv3VjzxQLm+b/DiRQjY7FAqJxeLCwsLYJw2O4zKZLDMTiQDv - Cxg24LoiSdLlcv3www8bNmw4ffo0juMQ5e+f//xnUVHRH//4x3nz5kFYwvfff//AgQNr166dP39+ - mAU4pL35/vvv//znP19//fUPPfSQSCQCc6D//e9/Tz/9tFAofPLJJ9VqNZbYZUgoFAIHMuQaMsgA - MCAdjhs3DvwSh4yLFy+6XC6JRCISieAihdZjf28HtlwkEoF1t9frbWpqMhqN6c8hJwjoOI/Hg90G - Io56vd7h9A2xWCxer3d43pVBGgI01ciMy+/3+/3+EydOdHR0gGkYSZLFxcVqtfrUqVMNDQ15eXk4 - jptMpr1793Z2dk6cOJEkSYfD0djYKJPJNBpNfn7+1KlTc3Jy/vznP7e2tprNZiS2TmKzcRwHv/Ek - 1pk+QNkNR7ohKQH408VOvp1uSKLbEUEQlyvdpgPg9IRMmUqlcsTFGZm5BqRktSO3XhzHA4FAIBDI - iDTSAXCZ+Oqrr5588kmXy3X77bfPnj173LhxbDZ7x44dL7744j//+c+cnJyxY8dC4bNnz7a0tMyZ - MyeqIBNsn7xeL0xxMBg8fPjwunXr5HL5unXrJk2alLgszev1ut3ujFd2BqkDIq3m5maDwTC0zKKw - 43366acff/wxm82eM2fOggULioqKpFIpssWIwW+PHz9eKpUaDIYk+iKOiiUDCb3g8zCI3hGQluaK - 9RbLAMdxOp0eCAQuXbp09uxZgiC0Wm1ra+u7777b1tZ2//33V1RUQHqtqVOnHjt2bP/+/SDz3bVr - 16effmo2m8GA6/Tp048++uikSZPuu+8+uVxOkmRnZ6fP52MymamLj42U7aMaMU72UbF9XSEAOk9D - P/kMwpBqk4H0vIpDGBo2m522JJp8NhtZL9NotO7u7nPnzs2ZMwdi+kXOEHwZdeZGdkYjhalpSF4I - aKxiGI3DRul2uz/++GOTyfSnP/3ptttu4/P5QJqrV68+ceJES0sLBFtGkxJDqAxlgMRDodChQ4f+ - 3//7fz6f75577pkwYUJSYtqZzWatVuvxeDLZszNIEVBKSYfDMdiIx2G49957tVrtBx98cOLEia1b - t06bNu3GG2+sqqqSSqVCoRBlqqPy2/D35MmTBoMBS00A4fQERE/Mz88XCATg+DcizchsKaMdQ55B - kiQFAgGXy92yZcs777xDEITH4/F6vXQ6/Ze//OWtt96alZUFh84vf/nLixcvfvbZZ7t37wbtd3Z2 - NqR0ZjKZlZWVlZWVu3fv7urqKisrs9vtBw4cCIVC06ZNUygUqRAekSR54sQJSJ0wepnttIqGlUEM - BAIBh8MhFArTIa1aBgMiWfHqIpFuAhfYn3t6ejo6OiZOnJhuWQkQksxmQycNBgMEwRKLxSjzeGT/ - wYUgqqKbJEmU0xx9E7WS/pBIPht4O4ZhQKxwkhEEkQ67TNR+IZY4xqEODx49evT06dNTp06dM2cO - h8MJBoMgFsFxfM2aNT09PUVFRXEOGkzNhQsXmpubrVbrU089JZFInn766bq6ukTOTuRhjuM4RFMb - vTeJDKhIT0FJcXGxUCjs7u6ePHkyGIUOoRK4fIvF4l//+tcXL148cuSIVqv95JNPvv7667y8vBkz - ZsybN6+qqkqpVPJ4PHgFGKzCmDgcjtGV8T5xUKV4iezVibcBkNlnRimGJpaCqb/uuuvKy8s7Ojrc - bjc4euA4PmbMmKqqKqFQCIcpSZJZWVmPP/54ZWVlV1eXQqGora0tKio6d+5cVlaWSqWi0WiPPfaY - QCD46quvjh8/TpJkeXn57bfffvXVV6foPorjeE1NzTvvvDNSmfASBNmXE8vlcmVnZ2dMHdMc4NED - KpnMTF2ZgDVrs9kwDEN740g36v/fxtVqNQRaS4cmRUUy2WyQcwSDwfr6elBQzJ0796qrruLz+VHL - Q6RrLpcbacFPkqTVauVyuRwOB4464LrjHEcUPHYIQw/vcjgcJpPJ4XCEQiEulyuVSvl8PovFGllB - DkmSkFwhMvkWjuMulwucGzEMA6ORSMbm8OHDRqNx5cqVcLxhfUppkiTHjx9fVVUFzC16KoZ6DbRz - Wq1269athw8fZjKZDzzwQG1tbYJ+R36/H+67JEnK5fLi4mKgn7RdQhnEiUAgEJk3DpB0FW78nJte - r3e73RiG6XQ6u90+hDQ8AOC0q6urH3/88T/96U/Hjh0LBoM2m+3MmTMNDQ3vvfdeVVXVokWLZs2a - pdFoJBIJh8OJOhqjhc6TwhvD9hIMBmk0mlAoZLPZw999EOpptVqTyQShHIe5ARkkAolEMuTIhZMn - T548eTKS6mLR6BkOR7lcfs899yBBNo7jtbW1qLBGo3n22WcfeughrVZLo9GKiopSHckPQqClqPJU - A4ZFIpGIxeJ0UF1kEBssFkutVo+WgymDKw00Gq20tDSd98MU+mZjGKbX651OZ1Q9No7jx48fP3To - 0NKlSysrK1EZOMn0ev3mzZunT58+Z84cFovl8Xh++ukntVqtVqsHZHShhsbGRjqdrtFo2Gz2oFru - 9/vPnz//xRdf7Nmzp7Gx0efzaTSa+fPnT5s2bfHixXw+f2S3m9OnT7NYrKKiIhBAoGZjGFZfX9/W - 1ub3+wmCqKqqys3NjWSzjUZjMBgEr1GMcg+g6sOp5cGSlslkUn+CD4WFhUKhsL6+/ty5c3K5fP36 - 9YsXL04kvCH0oqWlxWAwwOtoNFo6L54M4gHixwwGA4PBkEgkkVcrZDPcHx8+WECquQGzCWIYZjab - IQ5WY2NjT09PgnH7SJKcPn36kiVL2traurq6oKpgMGi1Wg8dOnT06FGxWFxRUbFgwYK5c+fm5+eD - 8zZVqZvI24cTyH4skcUuFouZTCaEd87Pz6fGVx9OFTeO4yBFHREeO1kOSqOLfhIH0N6SJUt6enqY - TObQjBHCyIwaVgaVAU47shj1TKTT6fn5+fn5+VgKhIb9NTutENakAYmQujNfaaSLkIbzmEEGkYCF - GTsl6ggiiVH6UoHU8jDd3d1WqzWq8grH8T179rzxxhsKhaKiogJd1xCv9emnnwoEghkzZrBYLL1e - /8wzzyxfvvyWW26hhu6MvIpBzaFQ6Omnn9ZoNA899BDI4eIhDqjtzJkza9euPXfuXGlp6bRp0wiC - 6O7ufu+99zZt2vTOO+8sWrRoaCqXRCxm0V7sdrvXrVunVCofffTRoqIi6qDhOO71epF9O5vNjion - 7k9mj0W7W8BIRr0F4jiuVCoFAgGEaWUwGD6fz+fzJULu0AutVut0OuEM5vF4cPdNz7WdwYDwer02 - m43P53M4HEgoEHa7AisMk8nk9/sxDBOLxRKJJJHpBnLt7Oy02+0FBQVg4BSjsEAgAGsaUD5bLBYs - MTYbx/HJkycrlUqtVotiJcCS8fl8Op2ut7f38OHDb775Zl1d3fXXX19eXm6329EqA5uddCZ4si+c - qd/vFwqFQ/YQge0FffB4PBAsE+oHK/qUGpSi2SFJ0u12AwUOM8BzivoNcuAfVMfBgAs83lNkPjdS - tv2x0dnZKRKJYNMYbNvifyR2SXQKR+XSU4F0mwWMQsmwyePxZZZGPmLw7xAof8jI3CviR2agMgBk - Vs0QkFo2m8/nx1Amw80gqhBUpVKx2WxUIDc39+67787NzQXWEZ1qcLGINCb3+Xy9vb12u91kMlGT - 9MRDH2hMe2QAACAASURBVB999FFjY+N11123du3a/Px8YAM2bdq0ZcuWnTt3jh07tqSkBKNIuFFf - sGjnKyoDCvwYBaK2EH5F3XS73XAdjCoEjSoejor+fqUuITj8wDI86uMdHR1ms1kmk6nVaq1W+/e/ - /53D4SxevJjH40V2ZFAI4/aHXE8GIwjE7h48eLC2tra8vDwqP0aSZGdnZ2trq8vlIgiisLAwKysL - LZYhvx1SksZmsAmCcDqd8Gocx7u6urZt27Z3715wmgjTXOF9ftTYz/kNZHEK/4ZCITqd3t7ertVq - w15Hfcrv97e0tLS3t3/xxRdKpdLr9ULEfjqdrlarY4sG0gTIbiURHttqtULQKY/H09HRYbPZWCwW - VGixWEiSFIvFqYuY6vV6EaXl5+cnhfAGC6/Xa7fbIZQJEI9AIICgqYMSMZAkabPZdDodQRBqtRo2 - 4eQC0sKnT8wqWFNdXV2TJ0+eOnXqiGdaHhHpWDrMBUyE0+m0WCzgGQROMQOSClzhgsGg3+/3+Xws - Fgsc4qhBeRDAqi5Z/SVJMh0y4FyWmc8zuFyRDrvNaERq2ezs7GyBQBBb/gFbLUEQVEOsMCtKgiCW - LVtGPcZgd4b7MXg5Yj8/53AcN5vNLpcLKkc19ydhRWrwxsZGGo22dOlSYKcxDBOJRPfee6/T6SRJ - El1fkOwWBLeBQADvi2yEXgEyAhzHwYQVxAFRC1DbgHpB9nmYQy84HI7D4YCqhmxrBG2A2OBhQwGv - Q42BdnZ2dlqtVhS3iQoul0un07Ozs5977rnvvvvuzTfffO655zAMA057yAuSKtuO3xs/g3QDTFxe - Xt6NN94Yf66FxK+q8Hh5eTmGYSCQ6q9CkiR7e3sbGxttNhuDwdBqtdu3bw9jm7Gfy6Rwivko3pdS - gVoe/kWZeKO+FD4AbRuNRoPBgB7n8/lFRUUSiQRL4yMNGsblcodcA8g47Hb7//73P51O5/f75XL5 - pEmTIDIzlBGJRCDmS8U4wJQ1NDSYTCb4RiaTwa4V+6hKYmOAVL777ru9e/dqtVpwzxGLxVOnTh0/ - fnx5eTmEsx7wjWRfcJp///vf27Zty8vLg/xSSeT64BVNTU1utxtCBmIjSp9IVhUKhdra2t59910W - izV16tQrU9Mysl0GMtbpdLt27friiy+AGZ4/f/6sWbPGjRuHpGZREQqFjEbj+fPnL1y4oNPpVCqV - RqMpKyvLysqi+sRhGBYMBjs7O3Nzc5PFaYdCIYPBIJfL49xhIu9LiQCdAnq93ufzZRzUM8hgaAiF - QpA9MX3in0citWy20Wh0Op39/UpV1aJAu3C1Ak4SbUaBQECv1wsEAogFGgqF3G53W1vb119/jeN4 - VVWVSqWC2GBqtRoYe6jKaDQ2NDRA5Xw+Pzs7G6Ww6g+wj+t0OpvNBgHiITzP448/7nQ6kXOC1Wq1 - 2+0sFstqtTocDp1OJ5FICgsLkfoFxKV6vd5kMmm1WoPBkJ+fX1RUlJWVBa+A+I1dXV2QQ4skSYFA - kJ2djbTBgUDAaDTqdLojR454vd7JkydD97u6uiwWy4By4qiseFFREZvNbmtrM5vNyD0bSnq9XoPB - IBKJqBdoNpsNodSolcAFLjc3l8fjmUwmgiAefvhhkiS3bNny7LPPYhi2dOlSqGQIJyK6HYZCobDG - ZDDqwGAwBvQjGLLMaMBqjUYjn88XCAT9lWEwGBDdkCAIyDYJBiPgcwGSJo/HA4XBOSIUCvn9fi6X - y2AwvF6vx+MhSZJGo4Hluc/nc7vdTqcTvqe+iyrAQtI0cLsAVTbatUYFt5B4I0G0Cr2OzOMQGRcz - 6eju7na73dCGyspKlUoVz6aalOOc7Isw/8Ybb3z99dc8Hg/Fvzhy5EgwGFywYMHDDz88btw4qgV4 - mMSH+uWRI0fefvttgiB+8YtflJSUhPHYVEsK6r9h30e+BaNsyK+//rrFYnnkkUcgUuaIk2hHRwcY - AvT09ECk2ZFtz3DCarXCHUkoFAIrO4LT0dvb+8QTT3z11VdsNrugoMBisaxfv37btm2vvvpqTU1N - f3ws7M8vvPDCjh07/H4/m80OBAKBQKCuru53v/tddXV1mDJco9EMypJiQMuUQTG3Ho8nnrNssDh9 - +rTVas3Pz0/RIZhBBpc3/H5/d3e3SqVKoqlL0pF8NpuqxnE6nTGigMIFwmAwWCwWcHiGewwk3PZ4 - PGaz2e12CwQCo9H49NNPL168eOnSpQwGw2q1fvnll6+99ppWq83Kytq4caNEItHr9VlZWc8///ys - WbOAV29padmwYYNer3e5XD6fT6VSrVmzZsWKFVGDCeN9RqFz5sw5efLk3//+d7fbffXVVyuVSpFI - BBdu4LFh+965c+eePXuys7NPnjyp1WrhnFi6dOmqVavGjx8P3Wlvb3/++ee//fZbgUDgdrs9Hs/c - uXMffPDB8ePH0+l0t9u9e/fuF154wWg0isVim82Wm5t7//33L1myBBiDpqam119/fffu3V6vl0aj - yWQyuVze1NRUXl4e+0oBAh5qwHCE6upqpVL5xRdf1NbWzpkzBxz5QFJ75syZ11577YYbbli0aBHE - HgNxg8PhkEgk6FYNcgccx8HQESaRw+E8+OCDzc3Nu3fvfu6552g02uLFiwfrxA6FpVIp+Asg+om/ - hgzSECPCNMIbRSJRfxcjWO8qleq3v/0tSN8WL148ZsyY8+fPnz59uqysTKFQcDgcLpfb0tICobA9 - Ho9cLne5XHq9vqKiQqVStbW1NTc3u91uqVQKzint7e3ffvvtxx9/3NHRgVH6DhsI/Mtms9lstkql - 4vP54MJ9/vx5SJWBDSk5woggkUYifTifz4cJYjAYw6/Sod7aY19zyT53dBzHeTxeUpoKVg82m62o - qOgvf/nLpEmTIJvGiRMnXnnlld27dxcWFubm5iLTBmRhi/W5vwKpQMvPnTtnMpkeeOCBFStWQPgS - qqQ1GAyi8sgYCtUZljiT6i5OzWrhdDqPHz/e3t5eVVWFLK1GhMGDNzY1NVmt1kAgIJFIKioqkpWk - Ov2FXCRJ7t27FyREY8aMoUZpGWbABWDDhg27du1as2bNQw89BJqAZ5555o033ti8efNzzz0nl8uj - xrwkSfLll19+5513rr766hUrVsyYMcNsNr/++usfffSR2WzeuHFjQUEB2Wdj6HK5WCxWWCUkxeYx - curh5hl2+UYrAsdxuVweD8FAH8+ePZufny+XyxOU5uA47vV6kd8fk8nMZDfIYKSQ/nvdgGCxWAUF - BWnei9RqswOBQIz4n3DL+eyzz0KhEJ/PB7V2MBjk8XiHDx/WarX19fU9PT0KhcLpdJ4+fbqwsHDe - vHl0Ov2999575ZVXJk6c+Pjjj1dXV586derFF188e/as1+s1Go2wg9PpdLPZ3N7evnLlypkzZ7a3 - t7/77rsbNmyQy+XXXHNNfxJWHMdXrVrV2dn50UcfPf/881u3bl24cOHixYtLSkrkcjkk9ALS7Ozs - PHTokNPprK2tffLJJ9ls9q5du3bs2HH27Nl169ZNnTo1GAy++eab33777fLly2+77TY6nb5z5863 - 336bRqP96U9/Kioq+uabb/7617+y2ezHHnts4sSJra2tb7311l//+tdAILBy5UoMw15++eXPP/+8 - qKho1apVhYWFX3311SeffGI0Gh0OB9Kw9YeWlhaj0UjtI3yuqKiora3dtm3btm3bhEJheXk5l8sl - SbKrq2vLli0HDx6cM2cO3J9yc3PhJ5/Ph9hdGFiIymsymbxeL7KZF4lEa9euZbPZu3fvfvnllwUC - waxZs2LbjEUOPo7j5eXlcP6Bli/DZo92jNQOiON4bFMIaNjMmTPr6uqwPv5WqVTOnj2bev3SaDSR - 6j74RiKRTJw4EVUVDAaLi4s7OjoYDAZIr0AmhWEYg8EA7jorK6u4uLi2tnb58uUajYYgiJ07dz71 - 1FOQOzAlA5GugN0erpi5ublyuZx6CU7pq6H+nJwcZDrU09NjtVpje8Un13AU6BMErEwmE2yLMAxb - sGCBWCz+3e9+V19fr9VqxWIxRnF/dblcgUCAzWaDhAKI1u122+32QCDgdrv1er1CoYCtG+3Pdrud - wWDw+XySJIPBoMfjgdglKFclVAXMM7wFOHOhUIjMd3Ec9/v94HCEeJvURVyjIqpmEskRksilBIPB - MLlDegJl7oSJw0b0xtzU1KRQKH71q18plUpo1ZNPPvnDDz8cPnzYarUqlcqw8mASotPpduzYoVAo - Hn/88ZKSEoIgFArFiy++aLPZvv32W2pKcIPBsGvXrpkzZ5aUlKBgMWAtSO01mjUgjC+//DI3N7ey - spLKacOeg/c5+OA4PqDROPwKZoYJjjBsIP8fe98d19S5/39O9iIkJCSBDBL2HoIMFUVU6rZqbdVa - bR2t9t5Wa4e3vR3W9tpxq22ttVartY5a66IqWldVtCKiDMHFkCmBEAgzOzm/Pz4/zvc0IEYEwV7e - f/jC5OScZ53n+cz3586dO6WlpUC4GBYWxuVye3ZjGcAAnAHI9v3ZCfy3Qc+r2Vg7EASBijX3OgBg - x7x27dqdO3cgUBy0MpAPmpubiRsoiqJXr16trq4WiUSHDx+2WCxz5swZPXo0giCjRo0SCoVLlizJ - zs4uKyuDKrgQ4fnyyy8vWLAAYr+VSuU777yTlZU1ZMiQTstawqNdXFzeeeeduLi41NTUzMzM3bt3 - 7969Oyoqavr06aNHj5ZIJHAxyBYxMTErV66MiYlBUXT8+PFvvfXWr7/+eujQIV9f3zt37pw4cWLw - 4MELFizw8/NDUdTf31+tVp85c6agoEAikfz8888NDQ3/+c9/ZsyYQaVSIyMjvb29X3755a1btwYE - BGi12oyMjJCQEHB0IAiSnJzs7u7+7bffQs551++Gq6sr+ITxy0BxZbFYc+bMuXPnztGjR0tKSiZO - nBgZGWmxWDZv3nzlypXRo0fHx8cD2W94eLibm1tGRsbBgwchSRuOKKFQOHv27ODgYIlEwuVyW1tb - 8eMtJCTkyy+/lMvlP/74486dO+VyeUBAgPPHPxw2ubm5NTU1SDuj28AWMIDeBjF6GeAQud21NI9/ - a7fbjx8/vnnz5oqKClCwme3w8vKKioqKiYmJj4+XSqW4cIyiaHh4uEAgKCoq+t/xaUBPm5ubgQIN - QRC1Wq3T6dD2bBHUOZpihODL6kYzxGIxrkOWlJTU1dXJZLJO7waf9CDJFpw1ZDLZ1dWVQqEACxSu - OOFpBfggGI1GtVp96tSpvLy8trY2mUyWlJQUHh4OFdEuXrx49OhRtVq9Z8+e4uLiuXPnJiYm6nS6 - S5cuCQQCBEFOnTrl7e09YcIEBoNRXl5+8eLFX375paSkRKFQjBo1auzYsWFhYSwWy263V1ZWnjhx - Ii8vz2Aw0Gi0hISE0aNHe3h4QEsgmTYvL6+pqQnDMCgtKRKJepsQHnjyuohM6alntba26vV6Ho8H - EQGPBfpw34CRnzZtGo1G8/T0BCMOyAmQUwOmQwcZAP4LZK6hoaEsFgsyuoECcNmyZePHj1epVEi7 - Vnzo0KEPP/zwgw8+cHd3d3FxASnRYrFoNBqDwdDU1EShULhcrkQiweM46urqVqxYMXLkyLffflsi - kcD6hHIG9fX1IEHx+XyBQMDj8bpevfCVTCbrqWXW1NQE+dg2m83NzQ1E3wE1ewCPGFarVa1We3h4 - PO6adv9vfA+r2RiGQa4yOLHlcjmPx+uoaMF/IyMjoX7P3LlzlUql0Wj08vKCApiZmZkbNmwQCoVE - xlSDwWA2mwsKCmpqauRyuVgshmBOBEGCg4PlcnlpaSmfz6dSqSC1iMXi0NBQLpcLwXiQNY1XveoU - KIrabDYajTZu3Lgnnnjixo0bBQUFu3btghLfCxcufPXVV8ViMfhvMQxLSUkJCgpCEMRqtTKZzCFD - hpw6daq0tLShoaG0tLS1tVUsFjc2Nt6+fRsEd7FYbDAYysvLb926VV5eDh6MkpISpF2yp1KpkHp9 - /vx5jUYzZswYDw8PBEHg3Bo1atT+/ftx2p57TQGCIGVlZQ7ebARBwMMWERHx2Wefff311+fOnVu3 - bh3U2abT6aNHj37llVcgrw9BEBcXF09PT7Va/cMPPxBvzuFwoqOj/f39yWTy4MGDuVwuuPtAcKTT - 6cuXL6dSqTk5OQ0NDd14ASwWS/cqoA5gAA8E2CVwrQb//F6LtovPwbVSXFy8e/fuiooKUK35fH5I - SMjQoUOjo6ODg4O5XC4eOt7jXrjHDiiKcrlcFxcX2JMNBoPJZAJRGDLqHTiQ7gWQ7LvnfoRabjAL - QqGQw+E4E0TaI4Az0Wg0ajSa1tZWs9mMh5Lq9frdu3er1eqYmBgOhwPXnzt37vPPPy8vLxeLxWw2 - +8qVK7/88sv06dOXLFmiVCrLysrgAGptba2vrwcFPi8v7z//+Y9GoyGTyVQqddy4cQkJCSUlJR98 - 8IFarVYoFElJSfX19du2bTt27NiHH36YkpKi0Wjef//9s2fPCoVCPp/f1tZ29OjRsrKyl19+2d3d - nUqlNjc3b9q0CXgHKBRKa2vrk08+uXjxYpVK1XuatsViuXXrlkgkum/y/MODxWIBHUmvPuVvA5iO - WbNm4QKA2WxuaWk5e/bsjRs3Jk6c6ObmBlYzYqAK/JfNZvP5/Ly8vMzMzMTERIjasFqt4eHhERER - eABFdnb2xYsXIcPOarUmJSX5+flRqdT8/Py1a9fW1NRUVlYCFesrr7wyduxYJpMJ3m+dTnfhwoXj - x49PnjzZ3d0dwzCtVpuWlnbkyJHCwsLW1laJRJKUlPTMM88EBgY+UNjdAAbwNwCFQhGLxf2ncsTf - GD2sZkMQDp6K07XK5OHhwWQyg4KCJk+erFKpIPwMvpLJZAcOHAD5w+FXWq3WZDINGjTI09MT5GPQ - TqOiojIyMnQ6HZB+QyA6eHRBAoAyJzabrYsmYRhmNBpRFKVSqRQKJSIiIjw8HJTbn3766cCBAyNH - jvTw8EDbM9wEAgHwnMPhMWjQIDc3N8iLBlbwX3/99ffff8f9M62trVBGIicnp7GxsbKy8oMPPmAy - mSAYYRgG6X8QpE0mk4ODg93d3fGoPKlUyuFwtFrtfeNL7zXyIOX7+fmtWbMGXOu5ubkcDmfkyJFR - UVE8Hg+fO6lUumbNmvr6egiywvP6WCyWXC4H38J7772H/DWbFMMwBoPx+uuvQze7bqQD8D6CXwVU - +o4ZWQMYQI/AYrEA5x/oVw9zKxRFGxoaNm3adOvWLalUGhQUlJycPHz4cJVKBSzrYL9DCNUEkP5a - iPiRAVKKOqZWOskaivvEmpubXV1dnVTLAXAlEN3hW00XtSeJv+oR4EZJPz+/P//88+jRo9XV1ZWV - lRaLpaCg4NKlSwEBAU8//bRCoQBt/ODBgyUlJfPnz1+4cKFQKMzLy/vvf/976NChQYMGSaXS559/ - Xq/Xf/31188///zLL7/s4uIC2zi4Fp966ql58+b5+/sjCLJ+/XqNRjNv3ryXXnpJIpHo9fotW7Zs - 2LDh9OnTkZGReXl5BQUFI0aMWLFiha+vr8FgePvtt2/evFleXg7HkNVqLSsrmzZt2ty5cyUSSVpa - 2ubNm5lM5tKlS0GT6ZEhcggRp1AoCoXigea323jsFOweMdU5uRF1cRlE2mu12j/++OP06dNHjhxx - c3NbsmQJhA3iBLdEggChUDhv3ryvv/76lVdemTt37pQpUyA+DggpQWaz2WybNm06fvy4Xq+/ePFi - fX19SEiIr6+vyWT64IMPcnJyhg8f7u/vTyKRTp48+eGHH/r5+YWEhBQXF//4449tbW0VFRXr1q0L - Dg7m8/lGo3Ht2rXbt28XCoVxcXEMBqOlpeXgwYMXL178/PPPBw0aBE+81xruwbVHnLL/2c1/AH0O - FEUfo5idxxo9HzTO4XCg1JPZbC4qKtJqtXhlLAcYDAac0AVpP1xtNhuunkEdRYdfCQQCGo1mNBpx - bl74eXV1tcFgcCiRhQNFUXd3dwaDgQt2HQE6dlpamouLS3x8PFSUsdlsYrF4/vz5YrH4+eefr66u - tlqtuO3T4W7w36qqqsbGxmvXrjU2Ng4fPtzT07OkpITH4/n6+tpsNqVSOWHChD/++AMCsEeMGCEQ - CDAME4lE0F+pVJqQkHDhwgWwDYMfG8QOLpcL2YP3VbO7cMvAWUKj0ZRK5fPPP4/LNB3HTaFQKBSK - Lp7SMa4bv083jGRoe2Qm/vLjDEADGECPg06nS6VSpIdkHbFYPHny5AkTJgQFBcEeBYYz3Hc9ULXF - AUaj0Wg0wlbGZrPxJBe8mkPXgGtoNBoETnd7EiF6s6Kior6+Xi6XI39dD72U8gq3NZvNdXV1BoNh - 9+7dv/32G3wF9PUjRoyAjBsEQa5cuZKbmxsdHT1u3DgOh2M0GgMDA998883ly5fn5eUlJyeLRKKQ - kBA+n8/hcPBSGrCrJyYmLlq0yNfXl0wm5+fn5+bmBgQEjBkzhs1mt7S0kEiksWPHHjlyJD09fcKE - CaAC1dbWVldXQwjuSy+9ZDKZfHx8kHbyy6lTp7711ltKpZJMJo8bN+7w4cNZWVmlpaVAqNEjgwPn - OIvFgleGRCJB6PsAOsJsNuNKbLcBcs594xHgVe24j5FIJIiJO3z48Ndff63T6Zqbm8PCwqCCDIhV - cCW1HWDu/+c//2mz2Q4cOPDzzz/v2rUrICAgPj7+ySef9PPzAyo1Mpn83Xffvfvuu1u2bJkxY8aK - FSs8PT2pVCoEDM6YMePNN9/k8/lkMjktLe2VV165cuWKt7d3bGzs7t27k5OTVSrVxo0bwStw4sSJ - nTt38vn8devWxcXFwdtx5MiR1157bevWrSqVSiAQQEcG/HsDGMAAehA9r2YTHREajQbcsw7XgJCR - nZ2t0+lIBODKIdykoaEBEq3xO6MoGhUVJZFIMjIyioqKJBIJ+M8bGhru3LnT0tKCtVfhRv6qamIY - hofkAfmEA0DbLC0t/eabb4xG46pVq4YPHw62bbvd3tjYmJGRwWAwiPIciqKVlZWNjY1A3oOiaElJ - CQQjsdns0NBQHo83aNCgxYsXg25MoVBu3rypVqtpNJpcLmez2RKJZNGiRdHR0XCiQLQ8iURiMBiu - rq4kEikvL6+mpkahUEDaUl5eXlVVFdyq05F38njAnWm4EIl1KFSDthO/d/wtcQTudfPuAfRzGGQM - w8rLy7uOkB/AALoN4grvEbkqKSkJt1jhL9eAxNYpwIb48BkiD19lB2ZKJBKBZE+cL2ALo9FoveHk - xAic3ikpKbNnz4YCE1lZWTt27Dh//jzOlHH58uXa2loMw44cOXL+/HnQBIqKiqqqqm7duqVWq0Ui - Uae2YwzDwEMI/wX2sps3b+7cuVOpVIIHsqmpSa1WNzc3azSa0NBQqVR68eLFTz75ZNiwYUqlMjAw - 0N/fH+5gNpvZbHZSUpKvry+sbaVSKZVKz58/X15eHhsb+/BLHU7hK1euWK3WQYMG9WAy/N8SKIoW - FxdXV1crlcru3QFrp9DX6/VdFJGGy3Q6HYZhbm5uHSUN+OGoUaP8/Pyqq6t//PHHy5cvb9iw4cMP - P6yqqjp37hzExAmFwqioqKCgILzo6auvvvriiy8ePnx4586dFRUVP/30U2pq6rvvvjtp0iQmkwkZ - fIGBgTQazcPDA4QiDMO8vLy2bt0KKRVqtbq1tRUaADIemUyGnGcgnoSmnjlzRq/XT5o0CfL44L0b - PHiwh4cHULWJRCK73V5TUyORSAY07QEMYAA9hd5lGu96qwLS1Af9uYuLS0REBAgiPj4+AoFAr9f/ - 9ttvRUVFnerP+K14PB6bzYak5Y7HEghYcrlcJpMdO3bsxx9/pNPpwcHBUF3s8OHDv/32G+RYgj7c - 1tZmtVpPnDgxYsSIYcOGUanUlpaWI0eO1NbWDhkyhM/ne3t7c7ncurq6pqYmyDsymUw7d+48derU - qlWr4uLi5HJ5VlZWYWFhVFQUhUKB3M533nmHwWB88skniYmJhw4dunHjRklJiUQiIZPJJpMJPOQd - hwWkEzzV0MlAsvvqALjOAMntvc3SAfYRoJdHUZREIkF14l596AD+lwGeQ1hmDylXOSjtPSKl9ZIr - tZ/AbDZDUUAEQVpbW8Gi2lf9hTLpDh9aLJbGxsb7kiR1AyiK2mw2Op3u4+PD4XCGDBmSkpIC3JND - hw7FMOzbb7/Nzc2Ni4sDQg0Mw8rKyrZt20as40WhUORyOcT+QEEvh4Xn0GYej0en01taWs6dO5eV - lQUfAjHH+PHjAwMDg4KCli1bRqPRbty4sWPHDjAxzJ8//6WXXvLw8KBQKMCWjxBCCYDSvKdI8uGI - gVSshyd2/tsDrCQtLS2gxHZjuOAnEJ3XRbgNXAZx+x11bLy0m5eXl0KhwDAsKSnp6aefPnTo0IIF - C86cObN161YoP4ai6IIFCxQKBRBVwCdsNjs8PHzLli0oih44cOCLL7749NNPVSpVTEwM3B/kn8zM - zBdeeAFMUaBLHzhwID8/v6ioCGQ/nU6HNwmsb7Abg48a0t8gzZtMJoP7va2trby8HOqVQheAknBg - 4Q1gAAPoKfSumn0vfQ92saioKAjkdqAgggsYDAabzQYtC0hcmEwmkJPPnj371q1bP//8c1lZWXx8 - fEFBwcmTJ6urq4leVmKKOBHBwcEikajTJkGG3qRJkyoqKtLT02/cuJGcnEyn00+ePKlWq+l0+lNP - PQWJQAaDoaamxmQy5efn7927l0qlCoXCc+fOZWRkuLm5jRs3TiaTSSSSmJiYtLQ0JpM5efJkHo93 - 69at06dPKxQKmUwmEAimTJly586dQ4cOubm5eXt719TUbNy4sby8fObMmWKx2MfHZ8iQIQcPHty6 - davNZpPJZHfv3j148KBWqwUONmLjDQYDnU53d3eHeMv7qtkP5MGzWq0NDQ1cLvdB62A/KND2oHE6 - cry5MwAAIABJREFUnQ6SZX19fVtbW+89cQD9DUajEegYelvQwdorsuK5Jw+PHrdDQUGmv59rBbrj - 6ekJfBlQvKev+gj6SVVVVUNDA5hf8ZbQ6fRepd1C27nEcUJmoHOLjY399ddfjUYj+NzAxDl16tQx - Y8YAORxYXcGYC2W6gDWdaGPtqC3AfcLDw1euXInHzSIIotVqKRQKBGYnJiYOHTq0pqamsLDw3Llz - Bw8e3LNnT2Rk5JQpU1QqlYuLC1GjRtt5qnp2WEJCQnrjtn9L9Eg1Ndx60jWIfLQ4TCYTUM9CTWkI - GxSJRHPmzHnvvfcyMjKmTp0K6Q82m43L5cbGxrq4uJBIJKPRCOVagTCSwWC4uLi89NJLOp1u7dq1 - aWlp/v7+IPLV1dXhMS9gi6+qqnruueeqqqpkMhmPx4uJidFqtdeuXcMdLUSiHxqNVltbm5+fD0UT - r169ajAYZDIZ0L5ERUUlJiZC4h6o2Q85mP0H/+PcHwPoDXRaW3EAXaN31ex7AeYpJCQkNjY2KSlJ - JBIRZw5FUTDwR0REeHl5IQji6uoK/JOenp52uz00NHTDhg3ffPPNiRMnMjIyWCzWsGHDCgoKbty4 - Adsrg8GIiIgoKipyiPFWKpVyufxeJwooqM8880xoaOi2bdvOnTt38uRJu93u4uLy9NNPjx8/ftiw - YVDfm8Fg+Pr6stlsNpt97ty5M2fOIAhiMplUKtWSJUuSk5MhYOmdd94xmUz79u1LTU2l0Wh6vV4q - lS5atCgwMBDDsLlz5zY1Ne3cufONN94gk8kGg4FKpU6YMOH555+XSqUkEunf//63p6fn/v37//GP - f4D9NSAgICQkRCqVCoVCKDMOstSFCxf8/PygCpozHjC85IYzbwuZTIaC4c7PLw6MwC9634vhGryY - 7QD+pwC8BiUlJUR7We8B9888GmqlbgDDsMbGRiqVyufz+2cLHxIOneopY0f30KlL1hmTZY8AFGDw - KKIoCrpHVVVVfX09i8Xy8fFxdXVlsVjx8fGg9qMoWlFRcezYMZPJNHjwYBRFw8PDhUIhcJ7BqSeT - yUArxhOC6HQ6JDwDIEW2sbFxzZo1bDZ74cKFXC737t27np6eYAseMWIEi8XauHFjUVER1PcCPYo4 - aGg7HsEoOeAR1OvubfSIIvTIAmccrsGrQM+cOXPYsGFvv/02aNoIgpjNZtjGZTKZXC739vYm0rjA - i5abm/vRRx8tX7586NChCoUCCPYoFEpMTAzOewrX+/j4QPkYPBnnk08+qaysXL58+XPPPcfhcCgU - ysmTJ1988cXCwkK9Xs9kMmk0GpfLhYttNhuPx5PJZKWlpStWrBg6dCio2TQaDUEQtVpNpVIh3tDJ - cXhcoNfrW1tbhULhAC3IAHoKLS0tNBqtt11ufzP0mZqNIIhYLF6zZo1Dch18xeVy33nnHby6LJfL - /eyzz3DHTm1trU6nW758+b/+9S8IO6fT6R999FFNTQ2Xy4W85ddff721tZWowJNIpE8++QSUxnst - EXAvhIWFffrpp1C2BGkPq4NGOtjvX3nllcmTJ2/YsKG8vDwpKWny5MlKpRKnrPT29t64cePFixdL - S0uNRqNAIEhMTJTJZNApCoWydOnSUaNGXb9+/erVqyiKzpgxIzIyEs9MFgqFb7zxxqxZs3744Yeb - N28mJCRMnz4dwzAqleru7m40Gg0GA5SRhH8hNd2Z1a/Vao1GIwhz972YRCI5SUrkABg9mCAnY84h - hAxOWeyxLXqEt/xe3p6Ogf2dXtl13zudkU5v+1gAgmNFIhFUuRsAiqJisRi53yTe95Xvtij/CJwh - DyrZd32lMzfs+ucd8cgsPkTAbllWVqbVamUy2aBBg/z9/f/444/w8PAJEybQaLSWlpYNGzbs3bv3 - 9ddfHzRoEBSlI5FIOp2ura0N7MheXl7wKuFjKJFIRo4cuWHDhh07dnC5XJlMZjAYfvvtt7Nnz4Jp - +NKlS59//vnkyZNnzpzJYDCsVqvFYgFPI4qiQqGwY90HIqNK7w2IA6BHXC73sa5DAcZu3O/ajTG0 - 2WxQSvrhp8B5OzjxvxiGgbL6888/h4SEQEI1iqL5+fm7du2SSCQRERGQyIaX9cIrGhYXF+fm5q5d - u9bDw8Pb2xvuA8XArFYrFLuGkQkLC6NSqWAwAm7drKyswMDAadOmcblcoBgoLi62Wq3l5eUmk8ls - NtPpdF9fX2A0sFgsdDp95MiR2dnZV69eTUlJkclkYCNoamp64403VCrVW2+9BRRoj/7E7I0nwgtS - VFRUXV2dmJjIZrP7sC435J4MFAbvWzy8/QhrL+2BtKeZ9FDT/v7oGzUbgKIoi8W617ew7QIwDAPR - Aeygp0+f3rBhw7Rp05555hk3N7e2trZbt25duHDBy8sL2DVAR+3IfeqMmxRtrxBGbADRJ0CEWCwO - CAj48ssvsfayYQhBZcIwjMVijR49mpixSZTnyGRyREREWFjYjBkz4Ljt6P6Vy+UffPABnCXEm0N4 - IdwwLi4OguSdDBrHj/Zetd2aTKbKykrgI2EymbjzvOsngkXj8X2HQXgCSQJ3TzmIQRCrDH/jYwJn - PHGNQcBCp0/BUzQ7Ppr4Cf70nupd7wEaKRQKXVxcHlm0W/8fmfuq0HixwC4uQDpU3eviznhUGCwn - XNjtE1gslk6zph0A+zNCiNt/XGaWRCIRKdbQ9lxZBoOh1Wqbm5vtdrtUKn3ttdc+/PDDr776Kicn - x9PTMysr68qVKwqFIiQkBM47CoXCYrGAvB2/FZ1Od5CHpk2bdv369dOnT2u12vDw8Js3b+bm5gYH - Bz/zzDPg7qPT6Rs2bMjNzQ0JCVGr1SdPnvT394+Pj4e6lR3Tu6hU6gPFOkGhyh5xr+GT/pjCaDQ2 - NzczGAwWi4W205o+0LrFMEypVHp4ePTJG4r7EpYsWbJ27drPPvusoKDA09PTarVCNdbFixdDQS+H - 6Ya9aMKECTt27Lh69erGjRsnTJgAFePS09N3797t4+Mzbtw4iMWAASGTyXq9HuhmmUxmQkLCoUOH - cnNzYU1qNJr9+/e3traGhobiKf0UCkWv1+v1evjvM888s3v37sOHDycmJkL5LpPJtH379szMzOHD - h4Mh6dFvGmQy2Ww2GwyGhy8qSQTcKiwsLCwsrA+DPkAEqq6uhtq9fdKGASAIYrfbm5ub8UKP3QPu - HO3/Z2t/Qx+r2fdS8zq1m+L7YHR0tEAgWLNmTWlpKYSLX758ub6+ftmyZaGhoR21WRxOapVwDfEO - xGMMl4Q4HA6IR6Bg40QgDs3G74P9lXmY+BScOdzhQbgBGDRw4s3ByQCX6XQ6JpOpVqubmpo6NQc4 - AA4/59+WB32vcNr2ZcuWWSyWlJSUESNGREVFwXB1IQpjGNbS0gJ0KQ/0xP4AmOumpqaGhga1Wt3Y - 2MjhcCQSiUQiwUm2YBLv3LlTWloKiWQwCAKBwNPTE/xFMO82m626ulqv18PNYYWAcm4ymRgMhkwm - w61UIHE2NzfrdLrGxkYoh8NkMgUCQc+e348Mj2Obexz3HQSLxWI0GrtQdSwWi0ajodPpPB4P1+WA - jxePFSICwzDYTFgsVnNzs9FodHV17VQGfaB8kG5Do9G4uLjcN2ESmgoaC5TCxhXCfr6QPDw8EhMT - /f39cQEIwzC5XD5q1KgbN27gvYiPj3/vvffWrVt3+vRps9lMo9EGDx48b948iBhHEITH440bNy4y - MhKPKqfT6SkpKW5ublDnAm6uUChWrFgREBBw/PjxI0eOoCg6fvz4RYsWBQcHIwgybNiwd999d82a - NefPnz937hyDwQgKCnr55ZfDwsIQBHFzc1OpVA5zER0dTSaTIbfLmeO1rq6OzWZ3LzwKB/y2ubkZ - eBweMR5+5cP5eO3atT179nh4eIwcOVIsFkPZlAdatyiK3r17V6fTKZXK7rXkIQGNfPHFF6lU6o4d - O86cOQPJaCQSafHixXPnzoU07I6/wjCMz+d//fXX7777blpa2t69e3FBKCAgYPXq1TKZDF9OVCpV - pVJlZmb+8MMPy5YtUyqVo0ePPnPmzLJly2JiYthsdmFhodFodHFx0Wq1ZrMZjkUfH5/jx48fPXr0 - 6aeflkqlXl5eixYt2rx586pVq/z8/JhMZmVlZXFxcXh4+JQpU4BZ7dGDyWTW19c3Nzf7+vr2uIew - z2PFoTsqlaqfb8J/exgMhmvXrkVFRT2m0uDjjr5Us5EH1/Rgg/b391+9evWuXbvOnj17/Phxq9Xq - 6em5dOnS6dOnQwDVve78QCvsXheDEDNixAgURSMjI3FjYadi7n1NpM5c0Gl7iIpofX09k8mUy+Xu - 7u6oE1W1exvQKaVSOXjw4J07d3788cfbtm379NNP/fz8JBIJPked+lrxUuSP3XZgtVpramp27tx5 - 4sQJtVpttVpRFJVIJFOmTJk4caJKpYJ0AJvNtmbNmtTUVAqFQqfTrVar1WplMpkgN8fHx4No0tDQ - 8Pnnn2dnZ8PNcaMMhLp5eXn9+9//jouLg2+NRqNGo9m7d++JEydqa2vBi8VkMmfPnv3kk0/287o4 - kOpDIpGIfLl/pxy53gCGYVarNTMzMycnx8/PLyEhwUF1AaPP1atX161bFxQU9MILL8hkMgRBUBTN - z89vbGwMCwuD7QK/HkXRpqamLVu2DBo0aMSIEVwuF9iGmEymu7s7UQrEMMxgMNTW1vL5fDytsTcg - Eom6FhZhrzh16lR6erpUKoUKDjweD8pHP0xEbm8DGjZnzpzZs2cT+whNfe211+x2e319vcFgYLPZ - GIYNGTIkNjZWrVar1WqZTCYSicC8C9e7ubm9/PLLDp6refPmIX89mDAM8/HxWb58+auvvoq1l3Mn - RtwkJiYGBwffvHkTRH+FQoHPe1JSUmRkJFFpRxDk2WefnT17tvMeM3d3944u8e4ByFMf/j7OA0YM - P14f0k/o5uamVqt//vnnLVu2BAYGLl++XKVSubu7g0XVGX0byqBoNBrIau6rRY6i6AsvvPDss8+W - lZXp9Xo+nw+msS6aBIJcYGDg9u3bs7OzDx48WFZWhiDI5MmTJ0+eDFsZ/NZut7PZ7FWrVi1dujQt - LS0lJUUqlU6ePNlkMu3fv1+r1Wo0mrFjx7700ku7du2KiIjA0wafe+653NzcTZs2CYXCGTNm0Gi0 - xYsXjx8//ujRoydPnoQU7hdffHHu3LlyuRx5hFsE3i8EQdzd3RUKhYuLy2Mdwdc1/q79eozAYrES - EhJ6ZIsYmM1uoI/V7G4Apjk4OPijjz6qq6uDuspCoZDP5z+akwZ3L8TGxvZhQA7xuYGBgQiCVFZW - 6vV63KmOOh0s0Bttgzj/t99+m0Qibd26tbCwcP78+QqFYurUqcnJyRKJxNXVFap6EElBURTl8/mQ - StR1sbd+BRjnu3fvvvfeexcuXFCpVAsXLhw5cmROTs5PP/30xRdfZGVlvffeeyEhIRiGNTY2lpaW - crncN998MzY2tqqqqqSkpKCg4OzZs7m5ue+99960adOgQntQUBC+wLZv385gMIYPH+7p6Wk2m0NC - QkBrAoqXP//885tvvrlx44ZSqXziiSeio6MzMzPT0tI+++wzFov15JNPErkA+w+gSUqlMiAg4OrV - q8SKLN3DY2qgeVBAN+vr6z///PPTp0/HxsZ+9NFHQ4YMwVcLLMjGxsbvvvvu2LFjeXl5sbGxUqkU - vv3uu+9ycnL+85//pKSkIH8Nq7lx4wYIr6GhoRKJJD8///333xeJRG+88UZERAQetmO1WtPT09ev - Xz9v3rzp06ff11bYbRAzd7qAh4dHYWHhzp07qVSqv79/UlLSsGHD/P39BQIBXsnCeSchEb1t7gEt - t2PwDnwOznmkvdk0Gs3Lywt3HTv8pFO3YcdPoEf4htCRORY4ROBv4lMYDIZEIrnvQ7uGkxPaNSCx - Njo6+tChQzU1NQ9/Q2cA+RdWqxUSc1AUJZPJREuH84DYND8/v9WrV69cuTItLe3YsWNZWVmDBw+e - PXt2SEiIQCCA8tT3tRP1h5RX/LgHOQRw3xcHbc+qGzZsWEJCAsgtOK+Ng3chMDBw48aNbDbby8uL - TqdjGDZjxoypU6caDAagvKVSqa+99hpxA1QoFD/88ENlZSVELKPtntXFixcvXLgQaZ/BvjLDwZvF - YDDuVRNnAAPoKaAoOlAZtw/x+KnZOEgkEoRaIb0gXjvcEFxDDkda/5Hm4aSnUCi4ocHFxYXBYGB/ - ZRF7+AY/kNBpt9uZTOZLL70EFdcaGxsbGxtv3LixadMmb2/v6OjoiRMnBgUF8fl8PJgc50fpb0Hj - JpMJKHw7PRFBAktLS7t69eq0adNeeeUVqVRKoVCioqJSUlKWLVuWk5NTVlYGhWqAWCg5OXnMmDEK - hSIsLAx+/s0336xbty4zMzMxMVEqlUJ1E9xzcvv27erq6vnz50MYBVE+qKqq+u677/Ly8l599dXn - nnsOyvxOnz49JCRk7dq1Z8+ejY2NBdG8/6xYHDDRdDq9R0QNqM71N/YMEGE2m9va2gwGQ25u7qVL - l8LDw7lcLnwFo3rx4sVr1661tLTU1tYCGxCsGYPB0NraajabO44Sh8Oh0WhGoxEyGlQqlUqlguI6 - UqlULBbDDlBYWPjll182NTUJBIKeHeoudpiOezL+VUJCwieffPKvf/3r/PnzFy9ezMzMXL9+fXx8 - /KRJk6Kjo2UymZubG1Sl7ijHdw2r1Qr5nw/ds65wr8bggT/IX60hHVU7582pDqdYp4caTi3Rn98j - NpuNJ1v1Nux2u8ViaWhouHHjxt27d61WK5VK9fb29vf3B7ftg6q7YK5SqVTvvvuuTqc7c+ZMTU3N - 0aNHjx8/rlKp4uPjZ82aFRgYyOfzYW/E7w8mBvw+ePBX3wKnesGXjTMrh2gThFes46qDv5lMZnBw - MG4bgn8pFArseB2XK7zjXC43NDQUPoF8NCiG56ByPOJFDj2F6K1bt24ZDAYWi9UfzCUDGMAAegOP - q5pNlAYcdtge0SpBBsXldYvFYrFYgEHtgQ6SRwNoiUQiEQgENBrNYrFYrVag3ARXCbQZ38qJUiYw - PBPv1qkMiqu+HTveUfzF/SQIgggEgvnz55eWlubl5aEoarVaq6ura2pqLl269NtvvyUmJqakpISF - hYlEIg6Hw2AwzGazA49X1w3rbUDvqqqq2tralEolJHERmwHaS01NzaFDh8Ri8VNPPQVBaDBicrn8 - rbfeOn/+vEKhgB9CnvadO3e0Wi1cCURTSUlJe/bsKSkp0Wq1eIIlLt+DAcLBuQEDsm/fvry8vNmz - Zz/77LNCoRCeS6FQpk6dWlpaGhgY6Obm1n/Wai8BhqK2ttZms4lEov+FghPQQT6fj2FYbm7u3bt3 - iYvTbrdnZGTodDogWCL+EH+XHbxGKIqGhIS4u7vbbDYoDeXh4fHJJ58UFhbu2rVLpVLNmjWLTqc3 - NDSsXbu2oKBg8eLFkLXbU3DYuon/BVMUnnQNWgqoHPBqhIeHz5o1q7a2tqioyGw2NzU1nThxIj09 - XSQSDRkyBKxOHA6Hy+UyGAy73X5f6z4MTkNDA51Oh/j5Huxpt/FoVnW/Ot3uBZwCDdYzLIYubDE4 - 0PuxhDpcADo2hCYdO3astrYW1o9AIHjhhRfmzZsnlUrBS+/8oMFmbrfb/fz85syZU15efvPmTQRB - zGbz7du3i4uLjx07NmLEiDFjxgwZMgSKPOOqrJOPePTo3rJx5lfgwe64XxFlv46GJ6LQYjabs7Oz - o6Oj+zw91c3NDVwg/dCdMIABDKDH8biq2Q4gblggIIKBuRv7KdynqKiIyWTixRVramru3LmTlJSE - IIjJZEIQ5CFLFPSs+72j/qnT6S5dumSz2QQCQWNjY1tbG4VCEYlELBaLwWBAEVToGijkdDodZzqF - 5F4ieQl82NbWBgQnQqEQ52wHSdRms7m6uoJVoq6uDm7V1NTEYrEaGhq4XK5UKs3NzSX22mazlZWV - VVVVHTx4UCaTJSQkQKV0tVpdU1PTsUe4IPXoz0gURaEECKQpOpz30KoLFy5UVlY+/fTT/v7+RCsM - hmFxcXGDBw/GhSSdTmc0Gt3d3XEGOzKZ3NzcfPr06bq6On9/f9CLHIQPfOgcOMYtFktubi6Px0tO - TobydfiD+Hz+u+++CyXcH+2APRgsFovJZHp4gaPP7V89+1LfF9DTQYMGcbnc/Pz83NxcCNoECb62 - tjY7O1uhUCgUiuLiYsh7J7bzXnD41t3d/Z133vn4448PHTrk7e09aNCg7du3X7hwISEhYcqUKQKB - AOmJLttstqampra2NvDd4TeEegoYhkEMCESUgEfabDabzWYKhQLvkc1mmzVrVnNz81dffVVeXg53 - MBgMsMmkpaX5+fkFBgYmJyfHxsYKBAIoBdRFk9D2sKCeyiXuBvq/rtsngGHBDbIYhlksFiiO5XBG - wKmBYRgQ4yHtCdVYOwM/cSfHjdEoiuKmXrDmZGZmrly58vLly3iZT4vFYjAYfvjhh8bGxpdffhlK - Q5P+Wle8CxCfO27cuLS0tFu3bkGeFJiQamtr9+3bd/jw4YiIiNmzZ/v5+SmVSoFAAD78PlfPnDF5 - O2kWxxkZu7640439vj/B/wbWQDx6vE8Aj/b09BSLxRUVFUhnpT3/HugTj8gA7ouBeekTOKrZj1hS - fEg4VDyCNaTRaMxmM6iCuGMHcTqLCW6i0+nMZrOHhwfSnjCMx2CXl5fbbDYvL6+ObiLnAYJjTxXL - wa25OGpra1evXs1isQQCQVNTU0tLC65mczictrY2ULPJZLLJZIKwJShNSaFQqqurKRQKn8/H20Ym - k6urq1tbW0HNFggEoISD+KLRaECfh+7U1taCHAMxWi0tLRwOB6rt4X3Hl5ndbod6bMXFxbt27fLw - 8KDT6bW1tR37aLVagbYE6nA+siVqMBiAyZnY/o5zV19fb7fbxWIxvuoAKCFCFf4AbeHKlSvnz5/H - lZ+TJ09u3rzZYrFERkZ2Gojb8RMYw8rKyoqKipCQEC8vLwdjP4IgoIH0W0AXNBpNUVFRS0vLw8wp - LsEgfbd9GY1GtD3r9RG0AZ7C4XCmTJny/fffX79+vb6+XiAQgJqdm5ur1WpnzpypVqvLysqcFOZw - DyHRQZSSklJTU/Pf//73iy++mDRp0v79+1ks1pw5cwIDA3uqpzqdbu/evQiCKBQK8PYgCGI0GmFr - otPpWq22uLjYbDbLZDKpVEqn0wsKCsrKyiQSib+/v0QiYTAYFotl2LBh+/btKy0tJY4SlDO5evVq - bm5uWlqat7d3QkICcA3el6q6i5KTA+hb5OXlabVaFEWNRmNFRYVarRYKhXCEEcPQDAaD1WqFMuAY - hoGaarFYWltb8cgg2DmBtJLD4VAolJaWFlCbzWbzzZs3V61alZGR4UAXgqJoTU3Nrl27PD09FyxY - cF/DDRGQjAB2ASaTKRQKqVQqeAiIL6DBYMjMzLx69SqHw/H19R0+fPioUaMCAwNbWlr6UD1zMDR3 - CpvNptfrO63YjHcQDxix2+0ikahXbegoijpTz7VXAXsR1HFwcXHpD+aSXoKThRgH8IjxeOl3fxt0 - omaDlNb/Z8Jms9XU1MB509jYaDAYwNfR2NgIanZQUBAUY9TpdDQardMdvyPgGiiUglNDGwwGb29v - pN2xiSBIt6NS4Whpa2trbm4GgbI3hhq3uIPKCv7Muro64jX3sj5AWmZ1dTX+CTGw3GQytba2dvwK - HuQQgg5XNjc3wz07NhJ3IECRXtwN5eDIRVFUrVZfvHgxOjrax8cHfFyPIIwTnM9AXoqLBTB3fD4f - TONwlly6dAlBELlc3jF6EFdFgG2ovLy8tbW1srJy5cqVeCxca2urxWJ56623nnvuOTc3N8SJrRAa - 09zcbLVaOz3S+v8rjCAIyLUQCtG98jzOnBy9bZeB+7e2toJbhs1md5vqyRkRlgibzebp6enm5pab - m1teXg6LB8Ows2fPslis0NBQjUbjpPSPYVhDQ0NbWxtCGE94PcePH3/s2LEzZ86cP3/e1dV11apV - Y8eO7akceLA9nThx4tKlS0CSDw5kIOGHTdhkMrW1tVmtVhaLBamMTU1Nra2tbDabzWYHBQUplcrG - xkYMwyorKx06hYc52O32xsbG7OzsvLy8HTt2MBiMtra2/i/pQvvxdjo55g+/5vutTIa2x0OBnddi - saSmpjY3N0dGRra0tLi6usI+bLPZ1Gp1cXExRAnpdDqLxRIVFSWRSMrLy69evQqREWQyGQ6UhoYG - MpkcHx/P5/MvXrxIIpG4XG5NTU1OTk5+fj5o6cTVAp7zhoaG77//HsOwmJgYFouFYRjE5uCmVeSv - xlb4YW1tLYfDgdLQVCpVrVY7ZFkTn2WxWHQ63ZUrV3Jzc3fs2BEfH5+dnQ2vQ5/MjtVqbWpqAkP8 - vRpgtVrr6+vpdDrsEkajEaesh+0IDxIRiURIv1xmvQez2axUKpuamhwcRX8DwDqvq6tjMBgQ6zSA - /gCsvZIIjUZ7GAfhALqB/1OzcakdwzC8InT/BO5w/uqrr/7880+LxQL6ts1mo9FokEdnNBr/9a9/ - zZs3j8vl/vTTTxKJZNy4ccRCEV0D3ClYO6PvunXrSCTSqlWrEAR5SJsoPB28x73H/4yiqL+/v1Kp - JFpMcQ0WLCmNjY1UKpXJZOJfgdRSVVUFLmuHSjAOXXD4Cv/QQVZAUbSkpKS0tJSonDvcB56Ltsfy - OQi+4PJNT08vKCgICgqy2WwNDQ0uLi6PwNGEoijRQdrp3EEfmUym2WwGRatTzzO+8EA0odPpYWFh - bm5uzc3NJBJJrVaXl5dXVlbqdLoHopXC23Cv07o/hwnBjLu6uspkMiaTCQqe8wBJFETkjtYZ4mWP - puANiUSqr68vLCwMDQ11nnOOKHoiCGK1Wh1YjrqGyWTi8XhRUVG7d+/Ozs4OCwuj0+kajSavbIzb - AAAgAElEQVQnJwcIzDq2gahCd7wbMbwcgGGYSCSaP39+YWFhXl6ej4+PXC6nUqk9uLRQFIUJAtXa - oUnwB1A82u122ElQFHVxccEwrL6+/uzZszh7YqcVCogRNKBvt7S0NDU1Ie0V6fstYD90ULO7WO0A - 2BBQAh9HxwuIm9K9Ho08dNmq3gC8IHFxcampqRqNBkGQwsLCkpISWJMkEgl/2e12O85eCXoyqNZ2 - ux1iTxCCaRjGZMuWLWQyGUx+JBIJgo/upQ7Bh2VlZatWrSKTycDIpdfru1azYdeCgYWvDAZDF0ZG - +CEs1Lq6uiNHjvStbgaj1/U2Baz4+PWVlZVyuRw07fr6eovF4lCRrmt0tPh0tDr1+UnnfAMYDMaV - K1f0en1cXFzXW32fd+pBAa0VCoWPuNldD5TBYMDZDf6XYTKZIAnr8VpUjzscJQycBbEvGvNgoFKp - EokkPDycSqXqdLr09PSqqqrBgwcDnzOLxYqPjwcdsqysrLa2Nj4+3tXVFf95p3pjpx/q9fo7d+7g - p2CPrNFejagB1WXJkiXPPvssUYt2QGtrK41GI7qkoPt1dXVUKhWvj+rkQdhpMwD//e9/v//+e1zN - xu+Ga0ckEgnqPPN4PLPZrNFoiEHmADc3t/DwcKFQSCKRHjIx/kHh0P1O587Nzc1gMBQVFTU3N/N4 - PAehCq+ag6JoVFSUUCiUy+Wvv/56cnIyXHn9+vXXX3993759wcHBixYt4nA4HZdZF7NwL+JokNH7 - oaD88IDwh7a2tqqqKjab7enpSQzsx2Gz2SwWS1NTE4/H6/SCngKGYeBflclkUEr6vmMOF4DDB96U - pqamiooKiHO57z4DjjhYXSNHjvz999+vXr06ZswYlUp1+fJlrVabkpICXHqdPtfBbQ5/34u4GPxX - kDNiMBggp6anxhO0QZVKNWzYMIeOE7UUh5/AZWaz+dq1a1qtFkEQEonU0tKi0Wg6WvTwXhMtMiiK - dhpl068AzAVUKhUsIMAQASLjvSJ6MAwzm82NjY0MBoNIsUEEFKZCUZRKpRJZrJH2099ut0OBPS6X - 2yNVuHoQaHtANQwI0m6CgbMM/sYvJlpjURSFJG273d7RSothGJjXLRYLnJsAg8HQ0tLSdZMgStZi - sUAyEYlEolKpwJwKWU44657ZbAazss1mg2pzoLJ27CAA7wvMOOwVYDt4gCHrUdDpdLw6YKeAzZn4 - iUKhAHMGmUxua2szmUzu7u5OPg7uhmEYMVELN3wQTSp9eNJhD8Idg7YzON734r7tVLfRq0dtpwDr - 6r2M6Wq12tPTs5/n0PUqYFjEYvFjt5b+Bvi/0whGH7hq+zmgqVwud9myZSAr6PX6uXPnajSa8ePH - L1y4EIIi8Ffu448/RlEUQg0xAuAkIFZVBYM3fIjvbl5eXt9//z2uWRFlvo4eBiKIcV9deJB6A66u - rkqlklgPpiNAGegIqVSKtdfdRRDkXoLafQEnREVFBTAe49ItnpNGo9HodDqLxRKLxUqlMiEhYerU - qZmZmR999NGdO3eIXimr1Tp69GgMw0Ao5PF4j2YYnTGpQDcDAgK4XC6eXEfUEywWS3NzM51Oh7Rt - cFcyGAygnYMro6KixowZU1RU1FHkAuBR6x0/p1AoOTk5RUVFkCtL/BbCF3uqXFbvoRuzaTKZNBrN - b7/9tnHjxsTExBUrVnh5eTlIJBiGNTQ05OXlpaamLly4MCIiopeWDUyNSqUqLy9nMBgO+fldg8lk - stlsiJmHSrzOTBaKoiKRSCKRAO9xXFxcUFBQRkZGfn6+QqG4desWj8cLCgrqNPQGdDaIvCDSHKIo - 6uLi0qmEdOfOnV9++cVgMPj5+Wk0GiAeDwsL67YNjgi73e7q6rp48eIXXnjhQWvb2O12vV4PLkcG - g3Hy5MmVK1dev34dIby8uIKNtBs1GAwGj8fjcDgVFRX19fUP2f5eArT/ypUr2dnZ7u7u5eXler1e - r9dLpdLAwMCgoCCJRNIxHgoOnaysrD179oSFhU2dOrVTz9L169eLi4ulUmloaCgEBaAoCsokh8Mh - k8n19fWbNm3S6/WzZ88OCgrqkYnuWcC8w6Hg5+cHWf10Ol0mk3l4eBCrkUPv4MSBACKDweCwncLO - 7O3tTaVSKysrjUYjzoW2d+/ey5cvQ6xNxx0Y7uPu7h4RETF8+HCRSFRQUMBkMn18fKqqqm7fvh0W - Ftba2mo0Gn18fKxWa1FRkVarxTDMaDSGhYW5urqePXs2MzOzoaEBvzke24UgCIvFYrPZdDqdx+Px - +XzQzwsKCrRabb+NN9bpdOCyNplMoNswmUw3Nzcmk0kikZRKJfIguQ9Wq1Wj0YADHEQ7MDS0tra2 - tbXhNO91dXU8Hq+vVCmr1drQ0ACFA500sDqDuro6gUDQn2NL+xxYe3CrzWYTCoWdjn+nUV0DGMCj - QSe52Y/RcsTDrsBsDwIiiKrE4xBkEVx6A11ar9dDOjeUmCaTyeCxAa+gi4sL5HLDnk40o2LtpWXw - /yKEoEf8obgJljikHS/rVXQtG2Ht+dsObF4dXfrdaDAMUX19/ebNm69du4ZH7WLtJDR0Oj0wMDA4 - OHj48OEJCQkgC1IolOLiYlzQh5Y0NDQYDAaxWPyI6YhwK0nX3YdvfX193dzcSkpKamtrgd0EaX+b - 7t69u337dqVSOWHCBKFQCNIhcUmAVdvDw4PFYkH0YMfXUCKRqNVqolwFk+vj46NUKvPz87OysoYM - GQIB53gY5J9//qnRaBITE2Uy2WPxXjsjzcPgZGRkfPvtt1lZWVqtNi4urmMROLvdbjabt2/f/t13 - 39XX10dHR4eEhPTq24eiaHNzs5N6Mv4THo8nFosh6YDD4SgUCgg9dUZWw12RbDY7KSkpMzOzoKAg - NDT0jz/+UCgUvr6++MWwkmGlKZVKq9V6+/ZtnU6HEwHAV9nZ2RqNJiQkBBfsMAyD9Jy8vLz58+cn - JSWtXr36/Pnzhw8flslkzrukugaTyZTL5bi+5/wP8UhdBEFMJtP169dxix7Sbi2FP1gslpubW3Bw - sFQqHTRoUFxcnNVqXbp0aUZGRo90occBvdi5c+fevXsh2Ac+B91y3LhxS5YscVjSMMs6nW7Dhg1H - jx4Vi8Xu7u4TJ050WPYYhv3www979uyRy+WrV69OSUlxGHObzbZjx44tW7a0trYqFAofH59H757q - ArBI3N3dmUwmuKAXLVo0f/58ULG69v6hhFjuTr9FCYWgILQbimJUVFR0TGhC2j3kEydOfPPNN728 - vBzM8eC/JZ4j2F9JznU6XVVV1ZUrV4jBnEBQyuFwOBxORETE2LFjAwICxGKxRCKB7f2FF144cuSI - Xq+/V/HL3sa9RhiMzj/++OMvv/wCCSAsFstsNovF4jFjxkycONHHx8f5NA0wUmdlZa1fv16j0bz1 - 1ltJSUk0Gs1utx89ejQzM/POnTuffvqpr69vpzboAfwvAJYi5IE/jp7/R4mBwekTOO53j9E04Bog - hGOpVCoWi4V7ofHzEkXR8+fP0+n0yMhILpfb3NxcUFCg1+tzc3MLCwutVmtMTEx8fLynp2dhYWFa - WlpNTQ2KoiNGjBg3bpxIJIKYrj/++MNisUydOhVBEJPJpNPpyGSyTqerra3V6/UUCkUmkykUClwP - xDCstbW1rKxMo9GAV9bDwwOovOVyOR6P3auDgx/q93oWiqJms7m2thYcO0TFD/6AGPvuNRUS5r// - /vudO3e2trYKBAKLxUKn0/l8fkxMDLjChgwZAkHg8AgITBg0aJCHh8eNGzdA7rfb7VAGnKj0PppV - arFY2traWCxW1yn08FVcXFxcXNy+ffuCgoKee+45d3d3UFR0Ot327du3bdsWGBgYFhYmFAohObDj - fZhMJplMzs7Orqqq6ljpuiMpPSxvJpPp6+vL4XBOnjwZFxeXlJQE4jgw0OzYsSMvL4/H40GB7v4P - KGuM3C/vFEGQyspKyG3Lzs6G+AjiNSDIXr58OTU1FSKKexvQ4NDQUOfXJ8wgjUZzcXEhqrX3VTXx - nQ03XWEYNmrUqF9//TU9Pb26urq0tHTChAlQqh3E9+bmZpPJBCaA0NBQPp+v1Wqbm5txlR7sYjk5 - Oc3NzVKpFN+jLBbLt99+e/r06SeeeOLZZ59VqVQvvPCCVquFpT5p0qQeJHFE751L3AVAb7FYLBcu - XDhy5EhtbS10mUqlcjgcgUAA5bKjo6MnTpwYEREBDnMSiVRcXNz/zzuIOl66dOlTTz0FYcO3b9/+ - 8ssv9+7dSyKRVqxYAbNM3O1zcnIKCwuZTKZOp/vzzz8HDx6MByjBv0ajsaWlxWg0Xr9+/dKlS4MH - D4ZYfXwx1NbWnjt3rra21mg0FhcXNzY29k+eKngFWltb7969C7zW91W37vttx/SE+Ph4Hx+fmpoa - SOd2CGHDMAwONblcDvZ9vKwXzBeew0z8EO5QV1e3fv36AwcONDQ04JlTNBotODhYqVROnz49ICBA - JBIRk6SAigKvA0KlUvuw4FxHQN/T09OLi4tnzJjh6ekJm096evrq1aszMjK++OILCDu6761g3MrL - y//xj3+o1Woej1dbW2u1Wul0enFx8XvvvYei6Lhx43ArBoxJXzmKqFQqFNTs2dsORPk6ia5N2wNj - OIA+RL9mf3EGWHuRpNLSUqAe6XjN1q1bITKZy+XevHnztddeu3nzplAo5HA4LBbr9OnTYrE4Ojoa - yKLFYnFtbe358+cbGxvnzZsnEAjKy8s/++yzrKyshoYGFot169at77//nkajXb9+HSrlGI1Gf3// - N954Izk5Gep+mUymAwcObN68Wa1Wu7q6GgwGLpdrMpkUCsX7778/aNCgfmJ1g7PhXi4+GFgglnNe - /IUpuHPnzscff3z58mUKhZKYmDh58uTS0tKoqKjBgwd7enriXiai8gz+/4aGBpxIDPK4mEymSCR6 - 9O4UMKXfNzAP9wQ++eST2dnZW7ZsqaiomDZtmlQqRRBk//79O3bsQFF0/PjxQAnD5/OhnDjxDgiC - RERECIVCk8nUKRcOhAB02sgFCxaUl5f//vvv3377bW1tbWRkJIvFampq+umnn86fP//EE08EBATg - yeEPMyCPABKJpOtMB6Q9d3TWrFkzZ87MyclZunQp0uEcRVFUo9F89913FoslLCwsPz//0fg6ujHI - GIYRM6JB3b3v6wbXQxgOgiAWi0UulyckJGzevPn06dNyuVwqlYLQDwmrhYWFtbW1sCbDw8O9vLyO - HDni4eExY8YMXDzNz88/ePAgh8MJCwsDzmQEQa5fv7569Wo/P7+pU6eqVCoMw6ZPn26321evXr1z - 506VShUZGfkoI3Q6hcViyczM/Pjjj69fv85oh1KpHDt27Lhx43x9fSGZGY8xIaIPm+0MLBYLh8Px - 8/NTqVTwiUwms1gs1dXVV69eLSsrk8vlRAupyWQ6cuRIfX39/Pnzr1y5kpqaGhMTM23aNLDjoO25 - URaLBZKHs7KyysrK+Hw+LF2c06u+vt4hybm/gRizgBD05x6k7YCwoMjIyFmzZtXW1hYXF+M2X6Sd - pIPBYCQmJg4ePJgYNEd8HYh5GfAHnG56vf7bb7/dvXu3wWBwd3eXSqUKhSIxMTEkJCQ2NpbJZBKN - aMQNHO+p1WoVi8U8Hq+faNrQMJPJVFtby2QyFy5cGBUVBV/V19fPnTv3+PHjs2bN8vDwAImiU5UY - +2vKVWVlpVarnTRp0vvvvw/OfAzD9uzZo9VqN2zYMGHCBKBVQ1G0pKSEw+GAdIF02Io7Wk96bxwG - MID/KfSVbetxQf89RJ0E7s0OCwtLT0/vdLJtNhskxCLtQi2DwVi6dOmUKVN4PN633367adOmrVu3 - JiYmfvTRR9HR0eXl5f/85z9/+OGHwMDAsWPH6nQ6vV5vNpuLi4vDw8Obm5szMzNLSkoGDRr0yiuv - JCYmHjp0aPv27Rs2bPD09AwNDUUQJD09ff369WKx+N///ndwcHBNTc1PP/2UmprK5/N7I5/K4WRy - /ocoit5LfcXaCwAYDAahUOi8zwp+CCXQxo8fHxISIpFIgLccjAsQeoe1l/LC22w0GnU63Z07d0wm - E9oeqgCx/X1CGUCn093d3Z3pNfQiISHhH//4x3fffXf48OEjR45AwpjZbBYIBAsWLJg5cyafz8cw - jEwmu7u7A8sO3k0URX19fSMiIjQaTad2Wblc3jHvCAZTKpWuXLlSLpcfPnx45cqVuHBstVoTExOX - LFkCiUmPxT5YWFhYV1cH2mDXDcZZamHxEJc9WL6+/vrr7OzsN998s7KyMj8/3/k2OJMpcC880K+w - dgYEtVptNBoRBDEajVqt1mg0dsqB1xFEUmUMw0aOHHny5EmdThceHq5UKiE8BOKlISQblpafn9+C - BQvWrVu3cePGc+fOhYSE0Gg0k8mUkZGh1WrnzZsXGhoKqn5dXd3nn3/u4uIyb9682NhYfGSmTJly - 5cqVX375JTU1VaFQiESivjpoQf0oLCxcvXr17du3PT09AwMDQ0NDhw4dGh0dTXxlcNIE3Nn4WABX - tCDTBLoQHh7u7u7e1NREfK9hKPLy8jIzM729vSdNmuTt7f3ZZ5+dOXMmLi6OSH0PeVJ+fn5Wq7W4 - uPj27duRkZFE/fDIkSMNDQ3h4eFQYbE/bx1Wq5XH4/n7+8Ne3eNNBU179uzZra2tu3btqqiogOqh - UO6LxWJFRkYuX748MjLS+UfjluibN29GRET4+PiEhoaOHj3azc0NjGL4pGNdEliCVQUIOJA+VR2x - dtZ6EK5CQ0ONRiPYbsBGIJFIhg0blpubW1tbCwEayD2qKxNJv+x2u8FggHgBYJID039VVZXFYsHt - C3AxWKIh6Q/2PZzeFWsvQICbPHqDrKQb43/fjag/v3oDGADAbDb3XtWkvwEeezUbad+qOBzOvepD - oAQGXdjBfXx8YmNjgf1ywYIFp06dam1tnTNnTnR0NIVC8fPzmzBhwpdffnnz5s3ExET8DAPOMNip - VSrVihUrkpOTqVRqaGhoZWVlenp6RUVFVFRUU1PT999/39TUtHLlyrFjxyII4uXlFRQUVFlZ2dzc - 3LFezsMDP0ucFx9NJhNRRr8XjEYji8XCK146AxifiIiIsLAw8FrjDcOnoGP7MQzjcDg1NTWVlZVk - MplGo4HfQCQSeXp69h6vOJhgiHS73QaNRnvqqaciIyNPnz596tQpqBoaGxs7adKksLAwPLWSTCYv - X77carX6+PgQHRRUKnXFihXV1dWdxtSNGjUqICCgIxcRmI1kMtn777//7LPP7ty5MzMz02g0hoeH - x8bGjhgxQiaTPRaFAwAP6mDExSyisoFh2J9//nnmzJmUlJTRo0dv27btgRrQNWdpDwJrz6RtbGwE - 6ZBGo+GM6M48XaFQUCgU0J9JJFJCQsK0adO4XO60adO8vb2hCzExMXq9PiUlBc9EwDDsySefDAsL - 27Bhw9mzZw8fPozn3bz99ttPPPEE7so+depUaWnpkiVL4LZIu2WHTqcvXry4oqIiJyenpKTESWtU - 76GpqcnLy2vEiBETJkzw9vaGsHC03TcLeKC3oM/1FgcYDIa2tjY6nQ4huHv37q2qqgLOBYcrMzMz - 6+vrExMTvby8lErlkSNHCgoKiouLFQoFsTsWi8XX1zcxMXHr1q05OTnJyclisRhBELCQ3rx5UyaT - JScn79u3r3vKg9VqvRcRek+BuFH06kxBMvyLL744c+bM1NTUr7766ubNmwKBYPr06WPHjo2JifHw - 8HigBsCwBAcHb926FU5houMaV1mduSeVSiXGKfQJ4C3DFWMSicRms8Eub7VawbwOgf12ux3SqWDu - rly5IhQKVSoVvtnabLaKigqhUAjMONevX9+/f79erz9//nxAQMCYMWM8PT2vXLly9+5dm822b98+ - q9U6bNgwMEoyGIxr165pNBrYoEJDQ729veHYbWpqamho4PP5bW1tjY2NLi4unp6eA7xiAxgAEd2z - ldvt9rt370Kpl/5zYvYr/B3U7PuCRCKp1WqokoogCIqiYWFhEokEr2iCoqhKpfL19aVSqcB6IpVK - WSwWJDTiov+tW7cgEQ5BkCFDhoDOAxRiPj4+4Eey2Wytra1ardbb29vT0xPnUHFxcQkNDT1x4gQw - 9PTscsTaM+6cqfOBtTOvkMlkHo/XRcQ4giAgQDyotAT0vzQaDTLTnLkDPM7X19dqtf766694oZ3e - E9RgHNra2qB+Eu5b7tgqJwHT6u/v7+fnt2jRIjywsGPQIIQ8OPwWwzCZTHYvrrKgoCBYbw7tb25u - tlgsrq6uNBrNz8/vgw8+AFIcFEX7c8DnvfCQrwaMSVVV1aZNmxgMxowZM5RK5QN5mfDKVb1dAAxp - X9teXl4+Pj5Xr17V6XQkEonBYDgzcTBQ8+fPt9vtbDYbnDNUKnXp0qX//Oc/iZn8Y8aMSU5OJoaV - 4u/aF198UVlZCRmPUL0cUrLR9iCL4cOHs9nsSZMmEecFDGfe3t7r16+/e/du39px4NFDhw6Nj4/H - U/RxqqpuNwyYqHsw7bzboFAoer3+1KlTZWVlSqUSw7D09PTff/+dz+c/+eSTeKAKuD3b2tqysrIY - DEZ8fDy4RidNmvTZZ5+lp6dHRUUJBAJckKJQKDdu3Jg7d25gYOC5c+dGjhw5ZswYGMCcnJzq6upJ - kyb5+/t3z+1vsVgaGhpcXFyAF7qnh+T/Az+Xe/URCIJUV1ebTCa5XO7u7v7ss8+ePXu2rKwsICBg - 9uzZQ4YM6faWBeooHtuFPPjuB4TwjY2NIMn01VpVq9UUCkUoFBJdxFqt9vbt22C/bmlpuXTp0u+/ - /87j8by8vICkhkQiffHFF2w2+9NPP5VIJGAXa2hoWLx48YIFCyZNmkSn09euXXvq1Cm9Xl9UVPTJ - J58YDIZ58+Z9+eWXWVlZkJeXkZGxbdu2yMjIhoaGDz/8MC0tDWwWZrNZJBJ99NFHSUlJdDo9NTV1 - +/btI0eOvH379tWrV0eMGPHhhx+6u7v3uQEaj7IcwAD6HN2rHkcikYCWos/Pyn6Lx08Q7wbIZHJZ - WRkxGxanSUPaD2k2mw1RSV3cp+O3eKBdXFzc/v374VbElYpLfqDP19TUQAGe3sjNbmtrg1KiXV8G - zwXfBYIgXbsduhdbZbPZoHz0A0WSgEKu1+ubm5utVivueeultxdtj5+8bzKw88ApvomhqkgHQZDo - siC2x5nl56AsMZlMvDAYrCuYMjxE9vHa+zgcjvO+3I4AX9/69etzc3Pnz58fHBxMjKToYnjhWyi3 - s3fvXq1WO2fOnNjY2N52x6Eo2tjYqNVqTSYT/qEzv4XxwUm2cUAQpoOjr+MRiCtmSqVSqVR2fDRc - L5PJIIC/49MxDHN3d+8ppvGHBKm9JATSzvz8kDdsaWkBtuc+r4QHanZqaipUfQMLZnNzc1RUlEQi - wbdH6PuFCxcKCgoCAwMZDEZdXZ1IJHriiSf27Nlz9uzZMWPGDBs2DF8GED3EYrGGDh166dKl8+fP - x8TECIVCs9l8+vRps9ns5+fH4XAQpxekQ5uB1a9Xt26dTgepFr0HeBCfz4eIJ+KHbDabyWQCkfjD - dLPPNb3uAfgUwV/9zTffxMTEjBs3jslkwhItLy/XaDQvvfQSpKyDDbGpqemtt95SKpW4/EMikU6f - Pt3Y2CiVSuH0hx34wIEDiYmJfD5/zZo127ZtW7169ciRI1euXAle7vXr17/22mtHjx6dOXPm9OnT - /fz8EATZvHlzampqeHj4ypUrvb29CwoKXn31VSAh9/b2rq+vLygoyMzM9PPzGz9+fGxsbK8agJxH - XV0dCGD9oTH3Ql8lBA3gkQEXRZhMZqcOp64xEBjSNf4n1GyAg7jQqebT6bed6ioOH4JIQbyD1WoF - jbd7DoEHArREIBDweDwowOvkr3C3QzderS5ApVI9PT0f9IagY7NYrEdZ+rLHzw8HsalTKepe2m8X - jbnXT4hjRXzWYyq9PYxdAETeAwcOpKamhoWFDRs2DGprG41Gm80G1Mr3Og+g+ldBQcHq1avPnTtn - s9lUKlVISAhoGg/UJOc9bFh7vbr6+noIGnf+KV0/y2H76vS3uHqGb1AdBx/PDu3058QfPmjLexw9 - a1HqDdLg7gHqUw4ePHj27Nl8Pt9kMp07d+7w4cP5+fkFBQVBQUEQSY4giNlszsjI0Gg0EydOlMlk - NptNp9NRqVRXV9fr168XFhbGxcVBTiyCICQSqaKiQqPRDBs2bN++fZmZmUVFRSKRqLy8/MyZM6Gh - oeHh4QiCcLlcIj+fkwA/bY8PBQ6Ymps3b+p0ut57Cg5IFuvU4dzn2+yDZtn0yBNRFK2urhYIBC4u - LjU1Nf7+/gkJCXiZFZPJVF1dbbPZ3N3dzWazzWbz8/ODJP/T/4+9946PozrXx2e296rd1a56tQqS - ZVmWZFu2bAzIIZRgarDBtHtpF2JISPgSahIg5F5qEkIcSLMx1UAcwNQIMMJd7pJlW5Jl9e2978zv - j/e35zPsrlYraVdaSfP8oc9q6jlnTnvb8375ZWNj40UXXQTuNrADgSAdALSt1+sF9bRUKq2uruZw - ODU1NTqdTiQSEQShVqshK9g111yzbNkyJpNpNBrffPNNsVj89NNPg6fYqlWrbr755v/93//t6elB - 4RJFRUUvvPBCQ0MD8tKfznaLCZVKBdaddBZl6cjbeQJE7jjjoLLAplsA1ySQWjF7OrV044ooY12A - FKtj7VZFIhEw+iLrd/TTTp8+bbfb4TiLxRIKhf39/QaDIRAIoOBkCMzGvt+HkoJJzNF42PCOyIpn - FlCFrq4uoVAolUrB72umCzXzmANTzIQwODhIzXs8IcCY2rt3r9Fo1Ov1W7ZsYTKZXC73wIEDLpfr - 3XffLSgouPDCCyOyr8PYDAQCu3bteuKJJw4ePIhhWH19fUNDAzXLXYIAtnyqD0uci1lEZGMAACAA - SURBVGG6UKvVGo2Gy+W6XC6M4oaQyOum3jHiS6fjnp3i22nEAYx9oVCoUqk2btx49dVXYxiG4/gl - l1zC4/H+8Y9/HDhwYPXq1TqdDo53dna2trYajcavvvrKYrGwWCxYbk6dOmU2m3fs2FFbW1tTU4Oe - Dz2tsrKyubl569atnZ2d9fX1x48ft1gsF198cXZ2NpCNY9OiKZ4QYIAUFhZKpdLh4eHpFzXnM2DU - o2AcsVh8/fXXQ1gmfAg+n5+Tk2M0Gv/4xz/W1tYymcxAIGAwGJ599tlt27a98sor4IgR5xXU3RHw - oS5fvlwkEqHjRqMxFAqZTCYwBZ86dcpisTQ0NJjN5l27dpEkKRQKgSANIoCge9x777319fXg75Mm - c5fL5aJqGdIQJEkODQ3pdDpa0p7DgC+bJspln89nNBpBA4WFHULTQUiZNFIrZisUCqFQOA2KOjxM - wTrWBBrh/kfVZeJhp8qIDwnHmUxmaWlpbm7uiRMnqLGa6EUgM4+MjACpGIZhGRkZl1122e9///sv - v/wyLy9PqVSCALl7926v15sK/TeS/xMM7KTWApJjp6hIE4Lf7z/vvPPcbjc6AolnptJ/0llJnAiA - XjhNVO/TAEjmPOnK4jheXl5eWFg4OjrqcDhCoZDb7QYagq6uru3btxcXF1Np5EiShARa33333eOP - P97e3k6SZHl5+UMPPQRRlxN6O3CB+P1+mPei6dmiSwu7UoVCweFwXC6X3+8HV9gIXcBYIEnS5/NB - 7twJFZVGBEKhEDVIMh2GG5TBarUSBIGs0EAFsnz58k8++aSrq2tkZESr1cKpnTt39vb2SiSSwcFB - o9EIuRjhOSKRqK2tbe/evWVlZSwWK8KEeMEFF3z00UeHDx8+//zzP/roI7/fX1xcLBAIQOGF3LLS - B9AyIFxhYUoFUG/NdNHmC9AAgfQZaAcC/TMrK2twcDAzMxN2UCwWKy8v7/777//000/b29tNJhM1 - Cx0V0NOo3xHoXcBEATFZKDCqv7/f7/dzuVy46/PPP9+7dy9MqiwWC66khr6LxWIgnEuffnLw4EGb - zZaTk5POeiKNRpO6ABAacwZjbbapkU3xexFcMDIycvDgwdWrV8NQHR4e5vP5KOVkqkqfSqRWzEaR - likFNL1UKi0qKsrJyYEdCfV7qNXqNWvWQAgil8tVKBR5eXkikQj2wUKhsLy8HJzr8DBZl1AozM7O - zs3N5fF4LpdLIpHodLqamhroB1KpNC8vD2J64frGxsbW1lahUAhd4cYbb/T5fDt37nz55ZczMjJw - HO/o6BgrV1NSAKFNxcXFIpEIkb0lgjQRRIPBoF6vF4vF1PXGaDQODQ0FAgFwjJxEOWHVT59lNXFA - O/T29hIEkZeXl6DcNUuBPjokt5+Qu0dEr7jzzjsvvvjiQCAgFosJgjCZTE8//fQnn3xy1VVXbdq0 - qaSkJELGDgQCfX19zz777OHDhwmCKCwsvP/++1esWIE0dwmWH8dxj8fz9NNPHz169Ic//GFLSwtM - MowwoktLhgmWurq6IDkTQRA+nw9EoETeHgwGDQaDVCpNIr/A/AH0BPgKdrsdaM/SrRn9fj+V3wE0 - bkB5aDAYYKrHcdxutx89ejQUCt18881XXnmlTCZzu91gx+NyuW+//fY///nPkydP9vb2qtXq/v5+ - q9WKXpGVlaVSqb799tvc3Nyurq6ioiLI/gXr4+DgoNVqBZt5WgF5+rhcroGBAbvdTuV4S/V7PR4P - GFrTrcNMMyIaHCY6MCMHg0Gk9A8GgzqdLi8v7/Dhw9RgE/L7AA5XtVqNbKfwY//+/U1NTSivJzCk - 1tbWQmwCXAlTt0KhcDqd2dnZwWBwdHS0ubmZx+Ol7QYg/SnQcByf23sPGghTnMrGStGCtnPjklLh - YUYYnU6Hpg6j0Yg8tqZSvBlEasVsk8nkdrtT3TrwCa+88sof/OAHIDyjU/DqRx99FMRpDMMqKir+ - 3//7f7m5uXK5HG5ks9m/+c1vmEwmdTpetWpVXV0duIvzeLzf/e53Ho8HaHjz8vLuuuuu2tpaFLKF - 43hFRcXDDz9cVlYGt7PZ7Jtvvvm8885rb28HrvJ77733gw8+ePvtt1OU5gSe2dPT43Q6J3RjmvRd - 8O81m81erxfRjCuVyuzs7Gi9SeLQ6/USiSSaKQpeMT1W4snt/OAuo9HY09PD5/MRT9XcQzAYRLJE - V1fXuXPnli1bluC9DAYDUtqgFuZyuaWlpfAbNBRVVVVdXV3r1q0rLS1Fl4GM7ff79+7d+4c//GHv - 3r2QYu2hhx5at24dbCwm+tUEAkF+fv7nn3/+zDPPvPPOO1dcccXKlSuzs7NVKhVMPogwiarc9Xq9 - fr+fJEkmk+n1evv6+iDlTCJvZ7PZkNIpTUbx7IJAIIC8QaFQiMvlJsjjMs16SUht6HQ6ofNgGIbj - eE1NjVar7erqguNAG97b29vY2Lhhw4bKykq0mwGRhsvl7t+//6OPPlq+fHlpaalEIlEoFHBNKBTK - yspqaWl57rnnnnrqKQaDce+99+bk5GAYBsJ8b2/v6OhoRJqDmQV8gpKSEqlUajAYpk3WBTkQ4o27 - u7sHBwfTp01mCjG31AwGg8PhgMYQMTLu3r27q6tLqVQKBALozIhEDdSdbDb74MGDfr8fHJGoAVMx - qXPQPorP5zOZzBUrVlx00UXgPMhgMAYGBgQCAcqjGV3UdEAaFokGjYkCbVaZTKZSqaSuPjiOWywW - gUDAYrFsNluc3EYIENaB/l24cOFsHyapFbM1Gg2kNJyGZgKKvJi+N1S/aA6H09zcjFEmOJIkkZYU - AaRr9DSpVIoeotPp1q1bh26HH5mZmZmZmcg2cu7cuba2tpqamnvuuQdis51O5+bNm3NycsZyl5o6 - CIJIhGk8DQGm+AMHDgwNDRUXF7vdbjgydW9YjUYT8QTojSaTCfKZpZojEe0PEv/oUELoNjU1NcXF - xdFqgtkL6mwALaPX60+fPu1wODAM4/P5IPmM60QHD1EoFMuWLSssLKTGUaN7ke9JdXW1Wq2mvp0g - CLPZvH///ieffPLQoUMCgaC8vPyBBx5Yt24dsMpNaJAi0/emTZsYDMY///nP7u7u3/zmN0qlsqKi - 4uqrr168eLFEIlEqlWDfBmE75sQ4CfvGbF+Eph/Q+FqttqysbN++fRCqkKBGeNocZKAbQwrJc+fO - ORwOWINgwSooKDhw4MC5c+eABHvfvn1Op7OhoQEIn8gwuz78LSkpaWlpeeWVV06ePAlWX1BOof3Q - xRdf/PHHH3/55ZfV1dWrVq1SKBRkOB+hQCBIz7BMyMJIEIREIsnLy5PL5ViKk3vhON7Z2dnf3+/z - +TQajUKhSNG7Zi+QM+Dw8PDOnTt9Ph9oyR0Oxy9/+Uu/33/bbbdpNBrwAC8rK/v888+PHDmSm5vL - 4XBGRkY+++wzr9cbsY3Bw6Aeob60oqIiLy9v9+7dZ86cEQqFQOzy3HPP7dy5c9u2bVQ+gmnGuFtf - MLqks8c4DRrjAjp5RkYG9v1ESwC0AZ6obELOwlw5MZFaMfvMmTNGo3HaNM3YGKts9GQXMWXHnA2p - EUeJS0pwZWdn54svvlhfX79hwwa1Wk0QxAcffLBv376FCxemSQqctAKMpfLycqFQ2N3dbbVak7WL - jZCi/X4/qMogn9k09EwwzkOmkwRvQZnGfT6f1+udhgTOyQJBEOAoGOfzRSeQczqd4OCK47jf708k - 9ztCbm7ub37zG6pXNnXLAgdvuummG264QSaTUQ0jFovlz3/+89/+9rfBwUGNRnPFFVfcdNNNEDxC - NQNOCCRJQubq6urqhx9++PDhw5DAr729ncfjqdXqSy+9dPXq1cXFxUDvAasOj8cDXS/Yc8DRJvGe - OQcWoZlCIBBwuVzwFYxGo8ViSSR4zGAwJDcLYJx34TheV1fX29tbX18PMU1YeH6oq6vzeDw1NTUc - DocgCIVCceWVV65duxZEcbRyYeGevG7dOqvVWlpaChMRKIBgGiRJMj8///LLL9fr9ZdeemlFRQUU - gMFglJSULF26tLi4OKU1nSigXvv37x8ZGZnmV8vlcsgFBe4PaRJylT4Aa7ZIJOLz+c8999xLL70E - x1ksFkmS69evv+OOO1AXveGGGz788MMnnniir69Po9F8+umnx48fp+67sPDeIELRk5GRAUoieCOP - x3v00UfvvvvuX//61xs2bMjLyztx4sR7771XX18PrE4pciEcFzClx+khCoViKgksadBIH0RvcZH4 - DT80Gk2C/RwRK6Qi8/H0I7VidoSrT0oR52PEkbHj3DuW9jSmfoVq3MZxfOXKlatXr96xY0dbW5tK - pfJ4PEajMTs7+/bbby8rK5sbGpokAloDTP0mkylCk52st5AkOTo6KpVKwfl/6k/DcRwEwphLOFwA - HtEJxpbDNWazmcvlikSi7u7uQ4cOLV26tKCgIG2jy6gAikiFQjFWVtJQKDQ0NCSTyaifgHplKBTy - +/0oazq11tEB24jeBjzAI96FcpgjXwC4HjIPb968+dVXX+3v7y8pKbnzzjuvu+46hUIBagJUHrSN - S7wFoJCrV69esmTJmTNnbDYbg8GwWq0kSY6MjHR0dGzevLm5uXn9+vXnnXeeSCQSiURqtbq4uPjA - gQMWi0UoFGo0GnB0pKeI1AH5HJ08edLpdDIYDJ1Ol6ACNCMjI5Fgk6kLYND9LrzwwtWrV1M363D8 - uuuuu+aaa5Cw8eMf/xgbI7UHHMnLy3vkkUfQBXfccYfL5crJyQFjGo7j11xzTWlpaU5OTkZGBjyT - y+U++eSTeLpSMEKiPizZudzGArwlLy9Pq9Xy+XyJRAL0rhg9VCmArrJu3TqTyXTq1CkI1wwGg8uW - LVu8ePHy5cuRtgjDsIKCgqeeeupPf/rTm2++GQgE1Gr1Qw899N1332VmZnI4HOiEMpksOzu7rq4O - xFHowGvXrt29ezfSnGIYtnr16ttuu23Lli2PPPII8IaWl5c/8cQTQGmel5eXlZUFq9J0fqy+vr7c - 3Nw4WnKr1QqlpfU1NGggGI1GiUQSCAR4PN4cSMqdWjFbKBROmrxqlgJmTIlE8sQTTyxduvTbb791 - Op1sNrupqWn58uVarXZuqGdSBBzHRSIR4vFPrhIax/GsrKxkNT4IVHa7ncPhxMw6DkdAlErwpXAZ - m82GzUpWVhafz1epVLOlw/B4PGjhsQpMEITT6YxOqYV+CwQCs9l86NChCPUc7LoQeTKGYVKpFJJa - xwSO40Kh0O/3Qz5qpVIJx4PBoMvl+vDDD7ds2dLf3y8Sia677rqWlhaSJI1GI6JfBuIooKtFcePo - FMg8iEEgJlgsFiwPVEkAeP62b9/e2tpaVla2cOHClStXcjiczs5Or9fLZDKByGp0dHS+RVyHQiFI - ljudlOlULbBCoYD4Jmw8jW2CriXw8KlPXxGBaggRmw+wrI77KDQ28/PzqUxUGIbJZLLm5uaIxRox - nKchZkRbTfv3xgd8kZKSkqeffhpNm0A8EZ3jkCTJNWvWNDQ09PX1+Xy+nJwcpVJ59dVXwzYArq+q - qtq6datOpwMCC4joqampee2114BjH7lsbNq0ad26dXa7va2tLSsrq6GhASUo+sEPflBSUpKVlTXN - 4Q/AHRhnxojg/KdBY+4hpkFlLMACtG3btgsuuGBoaKiyslKr1c52w2RqxeyamhqdTjevxGwsLGkL - hcJ169ZddtllcBDx5s2rppgQQAEBYbTHjx8HT8jkslwmS2gPhUJms1kkEn355ZdZWVmLFi1K4vqN - 9P0ymQxo9iKQtgNq3NmQzWaDS2r0ZbB/HRgYePTRRyNECJIk+Xw+l8t1Op3gWw7cZnl5ecCvE/E0 - kITLy8tHRkaMRiOGYVdddRXIPG63e9++ff/5z3/OnTsHN7a2th49elStVnu9XkQfGAwGc3JygK4Z - cvWhh4dCIblczmKxrFYrFCa6IgwGo7Oz0+l0UgU5qghnMpna2tr27Nnz1ltvCQQCm83m8XgwDONw - ODqdLkFvCxQBMe6V6Q+r1Xru3Dmn0wmuB9PTvWO2XrL8XGw2G4vFAqKBKT4tZqkijo87J0SMTeiZ - 0QNnFm1oZqScqH1mSyvNCKAjUXOLxtQ6wUwuFovPO+88OAL/Ui/DcbygoADHcavVyuPxIEG3UCgE - UknqZQwGIz8/H8fxqqoq+Eyoh3O53MrKyhRWeAwsXrx4Vrih0aCRJoABW1ZWJpfLfT4f2Khm+2Sb - WjFbr9c7nc7Z3kaTAB4O547OxT1DJZodIEnS6XSCyIHjuNlsdrlcM12oeCgvL5dIJHGcKqfyxcfa - YY+VOGG2IE6xCYJwuVzUrQlJkhwOx+v1BoNBqinpxIkTJ0+eBCfwmKLmv//9bwzDwCj94Ycfgsc4 - FnYCB4pyt9u9e/dutBuDp4G6B6fwSEX4jcPrYnqqU4sd0/CFxBskb5tMJnRKIBBkZ2ePK2rCEwwG - A4/HQxkTZjWEQqFSqZwE+dxUIJPJFAoFm82mOiakmw4rwYllomWOuXeZXR1plmZqnA+I7l1j9U/4 - gmhWjMMHRqVTibkywowNc3L0BTMyrtNqJqFBY7agpaUFokhm7y6XipRToEG+jZS+JT1B67wnCjKc - FcDr9cKRUCiUnlklmUwm8DqkIs9NtI8NCktGwdsMBmMaaNJTgXHN3cXFxQqFAl0WCoXgX5vNBuRP - 6GIGg+HxeIACJ3p/Bm21cOHCvLy8l156yW63A5k5m82WSCRgFaFez+Vyg8FgMBhkMBgSicTr9VKN - 2OMCvNPBQOr1eu12O3g5xqw7IpgFZiDkWI6NYWaMAJyd7ckkqWCz2cADNz3VgR25SCRSqVQ8Hs/t - dif34RiGUQNHaSQXMEDy8/PFYvHo6OhMF4fGVEHdLEWPmugFMY7uKRX67kmDngFo0JgoYHofHBwU - CARAFDLbx1HSxOyxTDfJej6NeQIQTmjfPCq8Xi81kzMkkqG65M1qoA8Nks/Pf/7z6667LkE7lc1m - C4VCYrF4LI0Dk8kkCEKr1b744ouHDx8mCEKj0WzYsKGuro5KTg75Hp1Op8vlgvTXIyMjVqs1kTKA - vf3o0aPAEy6VSj/55JN33nlneHg4oiRIkAaREpQ1brdbr9cHAgFkZk+wz8+ZoYHjuMViOXv2LMpZ - leqqRVOgpfR1NFIBRIFGYw7A7XbzeLw4ITBzZrqbLUg3vx4a8wo+nw/IcebA6py0nTpBEARBQLsk - 65k05jCi3bpAtK6qqoIUx2nO5j89WgBY6rq6uhYtWoQOzkYjdhywWCwOh8NkMoPBIJ/PF4vFiGZ2 - XIzLDh0IBM6ePdvf328ymQiC0Ol099xzz8aNGyHHI9U5HP2A3wsWLMDG/r4kSUJmMnTB2rVr4YfR - aPzkk0/AKk69hcPhCIVCNpstFAqrqqoKCgrkcvkll1yyc+fOl19+2eFw+Hw+ahnmD4AzMjs7G+It - p23Iw5qVogZP24lrziAzMzO5zB00ZgSwxun1ekiyQA+cdEAoFAqFQvGzkdGgkQpAl4u/AZtdSIKY - DbOkxWIJBAIKhWIO6B5oTAOQXgYNJNjvmkwml8sFnUqhUEQwncw34GFa4PiXBQIB8ECedaMPx/HM - zMzMzEw+n2+320tLS7OyshJxnAbEoYaG7FwdHR2PPfbYF1984ff7tVrtT37yk5tuukkul8PzoynK - Ip4cRwazWCw8Hk8oFFIVLkaj8dlnn3377bch9ztJkmKxGBIuFBQUrFixIjc3d9GiRcXFxaArYTKZ - BoMhIyMDeLYTb7c5Bg6HIxaLpzkQa9x3+f1+DMPovWZ6YmhoKM2ZO2gkAhhceXl5s27xmpOAldHh - cDgcjszMzLkRHEuDxgwiCWI2cmQFJklqRGKcUBka8xYwj9vt9kAgAAHG1E5y5swZEFEIgpBKpZBD - eJ5DLpePdQoa0+VyeTweuVweHXKc5iBJ0mazmUwmr9cLFQGjboK3x7E2kyTZ2dn5yCOPfPPNNx6P - B2TsjRs3QqT3uK8Y9wI2m81ms6lejjab7aWXXnrzzTdtNptIJOJyuVKp9IILLmhpacnKygLqcvRq - giDA5TUrK0soFFosllAoJJFIPB5PIBBI50RK8wFId4zjuFwun2P+I7MdMIIMBgOQZU7/22fXHDsr - QDdpmgA+hEQiAcp3+rvQmBHMpY6XNKdxMN3gOG4wGBwOB+wgZTIZn8+nYzymB7PF3RQ6A5/P5/F4 - VF0pbF9qa2u1Wm1HRwdBEN3d3QaDYUYLmxaIM4LguFQqRWnAZh3sdjvyX+DxeJABeCp1AbNwf3// - r371q2+++cbtdmdnZ997770bN25UKpXJ2iVTOa6Am2337t1tbW1yubysrKympqa2tra+vp6arBXZ - q3EcpyYxRqfsdvvZs2dtNhvyaZ96OWlMAtDyEL1Cf4V0A8wPBQUFEolk2ijQoBswGAwOhzNneDHS - B/QoSyvQngU0aCQLyVwtQMz79NNPu7u7MQxjMBjV1dXzMG/2TMHpdPp8PvgKkMconVsekvdEw+/3 - I2lkNnpBpwKJmF5ni5IlGiwWC7atJEn6/f6YyagTB9wOztu7du3y+Xxqtfqxxx677LLLkihjRwAe - u2jRokceeUSn0+Xm5rLZbFAhQfQvSlcT8170G4jK03bMJh3p32nnz7eYdXC73ZDacNoAZIcFBQVz - I5srDRo0aNBINZKvlHW73Yj/k/Y5mR6AMe3LL7/s7OwMBAIMBqOqqgpiXBNpf7g9KV+KJMlAIDBp - 8ZgkyXfeeaerqwvDMAaDkZubC6za8xlxwo+pmKUDDSLwMzIyOBxOIBCIcMOeKEKhUDAYtNlsf/7z - n//973+7XK4FCxbcf//9N9xwQ9K3xdSnwW+NRqNWq5HhGj7cuAMBXQZO46WlpQm6tdOYIqhCfszW - pj9BegLWtaysLJFINM0vDQQCg4ODbrebSutAgwYNGjRoxESSrdk4jhcWFs5z2qrpB7S8QCAAEYXB - YAgEgsTjCSEadiphvUgU9Pl8BoNBoVAIBIJJPA3H8TNnzkB+YwzD8vLyEFvV5Ao2WxCnjsAVNyej - Q6Hb9PX1mc1mMExNRbFCEITD4Th27Nj777+/fft2h8NRW1t73333rV27dnqkVsSaNpbhOia8Xi8y - yjEYjPljzZ5xKSVCUqIdZ2YXqGn8pm3IBINBSAowPa+jQSMpoPIlzWxJaEwD5kYerDmDZIrZMIDP - nTvndruT+FgaCSJ+2smYgMm3o6ODy+UWFhaidBrxJdvos5C+iMFgcLlcnU43OS8GKEx2drZAIHA6 - nRO9fVYjZvYyxPnp8/k0Gs1MlS11gAru3bu3r68PnL0rKiq0Wu3kOo/dbn/77bdfeOGF7u5uDoez - bNmyxx57rKGhYdosw+C6n/i74OIDBw4YjUYopEqlSp1ne/oAKu71ej0eD7g+8fl8Lpc7zQUIBoNo - 90nHp8wiwOiw2+1ABT9TZUiHQZoOZaCRtoCJDtFtwiYNo7vNXIfdbheLxVNxDKSRRCR/Y8Fms+n9 - yiwCjuMymYxqygbH71AoFNPcBBmDUfg0XDM4ODg4OOj3+xG30yRKAo+Sy+VAswxiZzqsB6n2DyRJ - 0mq1er3eiON4mCtOIpHMYR9F+OLQo6bScz755JMXX3yxp6eHx+PV1dX98pe/bGxsnOa4FerQSBAg - LcAGKDs7W6lUgv9CikqYDoDO3NHR0dPTA3WvrKzMzs5OXRbr6AJgGHby5EmLxQK/CwoKMjIy0mTC - mV0IBAIz0l17e3vtdjsWFh6m59sFg0EksdBdhcbkMG2zHCwlgUDA5XK5XC5IGzlt0yyNmYLdbp9m - 3goacZB8p3EQs+lhPCsAG4XCwkL0G4nNfD5fqVRG+yoHAgGTyQSpttA+w+/3WywWsVgMEvKELOER - hYFEXxiFlnnGAeqDlKbPjRgv1Gh5sPKFQqFQKDTHbG5QQchDBkcmNG9QU15D2KRUKlUqleXl5Y8+ - +mhTU9OEdt4JxsDHuR3HcbPZzOfzxWLxRJ8Db/f5fIFAYJ4Ie6DLwzCMwWAAY9w0F2BwcBASL5Mk - qVarJRLJfGj2pMNisXC53GlrPcgb6vF4kClbLpcDncE0vFqv15tMpkAgoFarIbnD9PcZgiAgOJwg - CJFIBIkM6K5LIxp2u314eLivr+/IkSMEQWRkZCxcuLCwsFChUNCmzjmMnJwcekJIHyTfafzIkSMW - iyWJj6UxbQArk8fjsdlsY83CJEkKBAK0J4aPXlJSAmLGuGMbBMixKJdxHO/u7nY6nWmipoFi9Pb2 - CoXCzMzMFAVI4zgOrsLoiNfrhbTMWJhMy+l0Op1OhULB4/Hm2ATq8/kQaWIgEABX3kTqSLX6MpnM - tWvXlpaWnjlzprKysry8fEKtBHtWbApGKrhrQpwI6NXot9vtnkE/2GkGdbqw2+0ej2dGOjYUw2w2 - O53OdM6Kl7YUFXw+f5qZI0iSHBgY+PLLL0dGRhgMRk5OjkqlirOyJAUQ13PmzBmj0YhhWElJCeI7 - nDaQJMlkMi0Wy8GDB10uF0EQCxYsKCoqmj+EDrMdOI77/f5AIADTPofDYTKZSd/twEbObDb/7W9/ - +8tf/hIIBAQCQTAYBGv2xo0bf/KTnyiVyrmksqdBI22RfAMCTBzwG3m6JjKPJH2dSNt9SVIQXTsU - 6DhRUOOxPR7PuXPngDM5ehYmSdJms4EGPbowY7U2lX7DZDLx+XxIiDJuwdJB2MZxXCwWc7ncaVuT - CII4efKkTqeDeGyXy+Xz+eRyOeSUnktdGrpNT0+P0+lksVjBYLCzs1Ov11NTUse51+12g6c9eHKy - WKzS0tKampqJEiMRBAHMRkwmc4oRTWKxOObzsbgMW2gsC4VCLpc7tycuBLQ0EATR0dHR399fV1dH - rXgSMyDELwCGYRHuOekGCNVhMplpuDOO2eenAWh3MZ1fbUZeGoFAIODxeGBWvNwr7wAAIABJREFU - gYUJSpW2vZcGADQ1p06d6uvrA5e98vJysVicdMIqgiCcTudLL73097//PSsr64YbbrjqqqtsNltX - V9fzzz//2muvNTU1nX/++bCdiO45ET08YhtGdXuknoqeqyPOxizqjI+mOQm6PdMKyXcaLysrk0gk - YNCGLVQwGExkt4ToGZJVGCT7RY/k+GM75rSSPkC0FqhV4XdnZ6fNZpv0Y/FwJPCCBQuwsSleOBxO - TPE7Tiu53W4cxwUCAdwexzuUJEmhUBihpoHaTbxCyQFJkoiUK9UR2uh3bm4uNBeGYQwGA3zFQbWR - DqqHZAG+LMQzgyXKYrEgP944wxPHcbvd/v7773d2dmIYZrFYvF6vRCLRarV1dXWVlZUZGRkJUmqR - JOn1eo8dO/bJJ59oNJpLLrkkKysLm0KUePSNo6OjbDZbLpdHCPAwNYFlAyzzAwMDJpMJxlf6fOix - Nk9xmoh6TXRFqN7+8IPH40Vo7jAMg7Dt6VEtoZWCurkct4LTNi/5/X6z2SyRSCaXwSGlmJGNMpfL - ZbPZc5ixIj7SrQ/QSATQV9va2ux2O5vNDoVCWq0WeEmSuPUlCILJZH7wwQd//etflyxZ8txzz+Xl - 5TEYDJVKVVxcLJfL77777q+//rqurk6hUJAkCRrqCP0mQRDoYARXCBwHWjVq3sqIiRotahiFPSGi - KTCKDpru0jTmKpLvNN7d3Y0SMnk8HofDAazR484jXC53KjmlIgDGLjabDfl4YdZA21zg9xrL1Qrc - ViEGDMMwdBnYitGuIo7VK+bOIynbEZgWmUym3+9nMpkgsoKtA5jMsLD0MrnnR2w3I8qM43hMv0qL - xSIUCvl8fnRpcRz3er3gao5h2LhumcCXHjG/J7iXQsWGLxXfLBmxpaY6AkxOqI5zF8iQsCYxmcwI - Ajks3MdgZWIwGHK5HAuvQDwej8/nI0KLiE+TIqCWQS5tUHiq4wMa0TiORxDmQcujJh2rwNHbi0T0 - cXDX4cOH//jHPw4MDGRmZvJ4PHid2Wx+8cUXr7jiittuu62iomJcmQRGytdff/34448bDIaLLrqo - ubl5XLvQuEo6aisRBPH888+r1eoNGzaAlyk6y2Aw/H4/GPPhXpFIxOVyQfBO3bZjov0nQi+Gemni - YjbQyKMhAAnqUHQAg8GoqqrKyclBj4Xbu7u7hUJhVlbWVHySx21J6IGnT5/W6/USiQTVK1li9kS/ - Y4QJCIrBYrEkEgm0Q8SHi9B6TG7WmiJi2nipR8ZSzUSXNmL1iS4eGaaAAUPu5By4Jo1EJqhUg6pc - QJPzjJeKxriA+e3YsWN+vx8Wd41GE59vZRILASzHO3bsYDAYDz74YG5uLnSYYDAYDAYbGxsffvhh - nU4HHoUOh8NsNut0OqqW0263W63WrKwsOGixWGw2m1QqdTgcbrc7OztbJBI5nc5Tp06dPXsW+l5B - QUFpaalYLIa6QO18Ph9EhPH5fAh2o9YU0bNhGDb3QuFo0EBIvjW7qqpKJpOZTCYMw/bt2/fXv/5V - q9WOuwyEQqEFCxYUFxfDjnkqgLnM5XK1t7dnZWXl5uYODw+7XK6srCzkn2Oz2Xw+n1QqjWnyMhqN - Ho/H6/XK5fJgMAj+hBiGSSQSDMOYTCaTyQwEAk6nc6w1HlhJqBSsKCPuJLiIqfB4PHa7XSKR9PT0 - qFQqtVqNYZjL5WIymfv27ZuKNZsK9LHcbjeTyRxX/THWWTieeCZkr9c7MDAAEUQYhvX29h45csRu - t+MJBN3BZhTEUYFAAFbKOE3NYrGQdC0QCJBbOPhfwFdOsNgAEBtiOnZCT4A+4PV6kZ4YXezxeKCp - +Xx+IBBAgg1IXKFQCBzIQTCDsyi+KxWAuoRCIbfbDcXm8/ksFgspd6BtYfEGHwSqMCYUCkmS9Hg8 - IFPxeDwGgwErbsRCi2GYxWKZBCsmcMKtXbv25z//eV5eHmx/9+zZ8/jjj+/cuVOr1apUqpycHPiI - Y1kpoTA7duwwGo1PPfXUunXrYNND3chGSA5UiYK650b7XTAmoOOBQKCjo2P37t1Lly5VqVTY9w28 - BEH4fD6wDJAk2d/ff/r06fLy8pSGmELfHiuVQDSo4xdmML/fz+Vyx4oqRGqXUCjEZrP9fr/H42Gx - WBwOBwW2hEKhY8eOWa1WKBIMEGpT4ziuVqvZbPZUfPhjin/RpcUwbGho6OzZs2q1WiAQQOOjJIVx - KphI2aJfjcey8FPLHPNeMN4CQx71SmpHhSdPaFpIlpgd81Fx1LXUQkZXIeL2iGeyWCy73e5wOFKq - jYoAmrHTQR5AZQgGgzCvQlOgv3AW9U+kJEX9GTRfWHgORP/Ckeh/qa9G+rJENDtj9Xb0nKnMdej2 - cVW6kwPoxCOWj0k/DQoJD4TnaDSaaC8eKvx+P2wmE3wFNMi5c+cOHTpUVVWl0+mQXdrv9xuNRq1W - u27dOjzsDtna2vraa6+98MILwIMLt2/ZsuXDDz/805/+VFBQwGAwtm7d+t577y1fvvzQoUODg4NP - Pvlkc3Pz3//+97/85S8ejwe2NBwO58EHH7z22muFQiGGYV6vt7+/f//+/T09PYFAIDc3t6mpKT8/ - H8RpgiC6u7th/ty9ezdJkpdeemk682LQoDEVJD82m+quefbs2c2bN8Nv6mwbU8MtFAqTqNMKhUIu - lwss5H6/PxQKUcNr41uzkWwDkhhSN9bX12MYBnzaNpvt6NGjDocj5j5ArVZzOByDwQCERiBpgKnE - arVOheUIhEAWi+Xz+RA9r9/vz8rK8vv9EKoKmv4IR6CJggwnbQZ/TuoOKfrixIlex7oMJv39+/cf - P34c9k8Yhn399deHDx9OhIIYPpNUKrXb7SRJFhUVBYPBwcFBn8831vVyudzv9wNla2lpKThWgXIE - qNR5PF6C2zh4O3xZqVTKZrORzABGS/CF5vF4crm8u7tbIpFACK5EIgFJ+9SpUz09PUKhMC8vz2Kx - yGQyWJIh4ZDL5br++usJguBwOMPDwxkZGUwmc2hoCCjQxy3ehIDqQhCE1Wrt7u7mcrlCobCwsFAs - FhsMBpFIJJFIzp0753a7Q6EQKJvKysqkUikRTsq1ZMkSj8fT1dUFQ6+wsJDNZp87d87n80VsqkKh - 0L59+wwGAwjkEokEJoFx6wUfSyqVisVi0M2RJLly5cobbrjhmWeegVMo5QHoTVAFqc+Hz81kMoHY - D6YpEPvRFIF2/yAQwvwAow8+HxmOjrHZbCRJgtYG+i3c63A4IJwSdpbgkAK1EIlEqGwej+ett97a - u3dv6jYcBEFAijjorom86KqrrkK/2Wz24ODg6OhoQUGBRCKJqcZiMplGozEUCvl8PqVSOTQ01NnZ - qVKpNBrN6dOnLRYL6Fz27dsHxhDQLwCzFABKBRm2plJZt9vtdruBWyHOzEMQBCxVx48fLykpgY3v - yMgIj8eTSqUxKwh003K5HKTfOGUoLy+POAJKB2Si9/l8TqcTeAHsdrvBYEBuUxAuLhAI5HK50Wi0 - Wq08Hi8jI4PD4fj9flhHrFarz+fDcVwikUilUphqEhQGcBzXarUx65ggcBy32Wx6vd7v9yuVSkhO - QZKkw+EwmUxut5vH48lkMpvNBrXWarUSiSQYDI6OjkI0GfSTUCjE4XCUSiWfz9fr9TiOZ2RkmEym - 7OzsmC8dGRmhLqPTtkEHEsqJ6jKSDjSLAhVcQ0OD2+1WKpU8Hi8QCBgMBpVKxWKxNBqNx+Nhs9kO - h8Pv98O6A0YIp9MpEolAiuNwOKDwggkNrgcXKr/fL5fLbTYblWxSLpd7vV6n0ykWiz0eT3wlqcPh - iKZiwXHcbrfLZDKYulGEVCKAaRk6eTAYhHtRCTkcDmhgJ9CaY0Oj0TidTpfLBa7d4EU4lU9vsViG - hobQ9uD06dNer5fP54+laxgcHMzKykowAAoLKxb/85//uN1ujUZDTa8rEAhyc3NByiXDHklGo7Gz - sxO5U6F919GjRz0eDxy0Wq2dnZ3Hjx9vaGi4+OKLS0tLT5069eKLL+p0uldffTUnJ2f37t2PP/74 - hx9+eNFFFwkEAp/P9/HHH//61792OBxg37bZbIWFhQ888MDKlSv5fL7H47nlllscDodMJuvv71ep - VA0NDVKpdDq1ZjRoTBuS7zSu0Wg0Gk1vby+MUrTTjbBURNzIYDAcDgeiKE+KSpLFYrndbqTvjLZQ - jfV8uB42xNQbd+zYgS6IiFeJwMjIyNTLPxZgxx9hc0N2IWBeXb58uUajSURcifMWDMPgIVMv8LjX - wAz77bffjo6OgpWMIAi/36/X6yMeEq1aRl+zv78fjg8MDGDjtf/IyAi6YHR0tK2tDZ1KXMVOvRL5 - hMe8LKKmERegT3nmzJmI4/Djt7/9bcTt1NoR45FsJVL+6AJTzUpHjx6lWjmopzAMO3nyJBovDAZj - 27Zt1FrHt7PBZUwmUyKRLFu2DLYC45YcrvF4PCjHO2yDoEZUnRpJkqOjo5BJEgLdMzMzIf+QzWY7 - fPjw0NCQw+Ho7u4eHh6G7SkSVMxmM0EQOp1OIBAQBGE0Gvfu3dvf3+/3+0tKSmpqasDiSpKkxWI5 - dOjQRx995Pf7y8vL6+vrgaUCNVEwGBweHrZarUwmMyMjQyaTQTxbaWkp+OMRBOH1enft2kXtiikC - /n2LOtXTLwLoa1JvRKqKsexU1JmWDNMrRPQBagxFcXGxVqtN4jYLaQn1ej30h+iHUx3U+/v7h4aG - Pvroo0QC4/Hv833Ev7ixsZF6I0EQdrudx+OhAB+XywWZHTQajdFoHB4eBm0pFmYXE4lEGo1maGjI - aDQKhUKVSsXlcn0+n9frBb0t7JIVCoVcLjeZTLAWxGyTiFowGIy8vDyVSsWYVA5OUMmNjo6Cv1hm - ZmZ2djaHwwkGg2azWa/Xu1wu0BFYrVaHw8Fms+F1Ho+nv78/EAjApAHOpXw+X6VS8fl8WD21Wq3B - YCguLo5+KZPJ9Hg8PT09GIYxGAzghpxo4SdRWRzHzWYzKDVmUB5gMpkikYjNZgcCgdHR0U2bNuXl - 5YFYJZFIXC7X0NBQfn4+k8m8/vrre3p6lErlgQMHhoeHYXblcDgdHR3t7e2LFy8eGRnJyMjQ6XSt - ra04jisUCi6Xm5WVtWfPnoKCAoFAMDAwsHjx4m+++QYSAYRCIbFY3NLS0tPTc+TIkfr6+pMnT47l - QwfXm81mhUJBXWWg2wwODlZWVoLXdFlZWeLVB0XhiRMnAoGA3W6HHvLtt9+CSjc7O9tqtbrd7ik2 - MmDDhg1Hjhw5cuRIVlYWKOyGh4enIsN3dXWZzWZQTLDZ7Pr6elCzjtWdIty5xwVM5pCrpampCZY5 - eDh6BXWKA4MNqPaoKyb1meXl5Ww2e82aNc8884xKpeLxeG+88YbP59NqtWq1WqlUXnbZZT6fz263 - A7FOa2vrww8/7PV6X3zxRZCrDxw4cPfdd993331vv/12ZWVlKBSy2+2dnZ01NTUPPvhgdXV1bm4u - No3KMhozi2iRcG4jmWI2LNXl5eU//elPn3766aNHjwaDQVCv+v1+Ho8HwSHgPUiSJLKlgOUH9g3w - AaJjaye3A2MwGEgpCzs8Pp8P+xswcEGQDOingXEHLLdkOK4S7E5QNaPRSI7N9zCJ4oFNDMdx8Lyd - 0L34953rsPAuWaVSbdy48cILL4yOlE4dkiWKi8ViPp/P5/OBXQMaH07ByhS9UcbC9ijkPAa/QaBK - 5LuQlGROcCRBqRXkOrgSRDgs7AdOlVio/1J39tH9h7p+R4jrVPsq9GTkcA6iLxn2CaSKu9T3YuE1 - mHo25vaUepzqMscI07BhYX8QaGF0JKK+qITQvcfySoAbJRLJ6tWrzz//fDB9xBkOUBgej8disQ4d - OtTT0wOhE4FAQK/X79y5E2J9kYh79uzZRx999Pjx44FAAFydb7nllquvvlqpVLa1tf3ud78DB4rn - n3/e7XbfeuutAoFg27ZtEPby1Vdf8fn8hx56aOnSpadOndq8efNnn30G4gGkELv55psXLFjgcrle - ffXVt956C8ySn3zyiUwmu/fee6+88kpoSb/f397evnXr1vb2dhzHL7jggo0bN5aVlTGZzJKSEplM - NjQ0BLVOCpt0/A5MlauRUz18dKoCC11GdQmBzsBkMql511B/jtBjwmXQVmiQom4AXQh6LHzNaPXr - pFuAqvOFLHHU7gdngc0RD7NssFisUCgEsYLY94dPNFADjjvD7Nq1K8HSdnd3Rxw3m83w99y5c3DE - 5/PBwWiMjo6Ojo6O+64InDp1qqura6J3RYMkyXPnziFFJxbuD1BgqKDf7+/o6MC+rzMlwxwofr8f - BDY4C6vt8PDwWG+E6U4ikSxfvjw/Pz91cRZUQFKDaXhRTECTKpXK//7v//7DH/7Q3d0NzjWnT5/G - MGxwcBDJVENDQxiGgUcuHo5x2LNnDxqwBEHAWSwcCYKFmxQLrykwBP7973+jABP4WF9++SWszm1t - bUSYBCuikOjfsQYIjuNnzpyBoYdKFaFAR1eis9F7HqrTODI/JKOxMRzH9+zZAzWN0PJP+hVIuA2F - Qrm5uYsWLYrvwjm5IEqYS0+fPu3xeKIt4dTCc7ncYDB48uTJ+vp68PeOvmZwcJAgiAsvvBD0cRiG - rVixIiMjY9euXR988EF9fT2TybzgggvAE40giN///vcsFuuVV15paWmBqjU1NW3atOmhhx46cOAA - 8k4XiUQPP/zwRRddFJNVl8YcBtrBznRBpglJdhqHQQUD8q9//evIyEhdXV1vb29/f39lZaXBYBge - HlYqlRUVFX6//8SJEwKBIBQKVVdX63S648ePd3R0wC5nYGCAw+FQtexOp3OiSmuQnxsbG4eHh7u7 - u2G6rKioqKysBJ9btVrd2dnpcrkWLVrk9/tPnjwpk8mKiorA6ZfBYHi9XqPRCG7wJEn+9Kc/Bd8k - tVpdVFQE+3iww7NYrEnwt4GXLJ/PBwXn5LaVBEF4PB6XywX2uksvvRTIlibxqGhMm8IJXrR27drO - zs6enh6wb7hcLplMBoZEMDmKxWIWiwV+aBiGgfYU4vSUSiWGYT09PWazGYKZi4qKQHgYy+cKlkxg - 8bXb7RPdP1Ej8O12O/QNiUQCgcpABMDlcsGNymKxsNnsjIwMPp8/ODjI4XAyMjKo3RuiG2C7w2Kx - IEYU7TyAUhuAokZh/2Sz2YB0UCKRQGACqJYCgQBIxYgOAJxg3W435Fzl8/kZGRkWi8XpdMpkMqiR - w+Hw+XyImsXhcLhcLpFIFAgEwP8TJseBgQEGg6HRaOBL6fV6vV4PHvjgyE2dQ6GcoFaDYF3s+5T1 - XC533bp1//Vf/1VQUICH/UhR5vCYAHv1yMhIW1sbmL+GhoY++OCDjo6OH/3oRyUlJTCEDQbDI488 - smvXrssuu+y8887LzMz8+OOP//znPxMEsWHDhvr6+vvvv////u//jh07dsUVV6xfv16j0YyOjv7r - X//atWuXRqOpqKhYtWpVVlaW3W5/+umn29rarrnmmptuukmr1b788st/+ctfnE7nL37xi9OnT7/1 - 1lvLli3btGlTTk7O4cOHH3744Z07d9bW1hYUFPB4vL6+vueff37lypV33nnn2bNnP/7448HBwQce - eKCurq6mpmbJkiUulyuJGbNBIQJ2/uizOI5nZ2eTJOnxeORyOXx0s9kslUqR9SMQCBiNRuCQGxgY - AO0VuJhGfBQIBADiXCysHoInQPp3LpcbCoWQvA2yN4juwWCQzWZLpdLGxsbMzMwUzTYmk4nFYikU - ioh1vbq6uqioyGKxIB9OGC9A8YB0fBAfToTj55EeDeISY0771Lhuu92OjiOpMkINN6HqADkCSmIX - 368q4kaoI0i/MPMgqziE62MYJhQKYe2DuwKBQDRnBFVtBzIbfGIkFUQr+6g/UJECgQDYsaGFkboQ - ieXgao5EcYyi2iBJUiKRXH311VdeeSVwRs4H8whU/NZbb62vr7/pppv6+vrAcgvWSFg1YLIFnRGS - nGFXgyYE5EwBOy4qByf2/ZaEW5BFFDgaQfJEF8OggB4iFAr9fj8McDSywOUHWXFBs4aYwGDzA7ow - ZN6At1M/PZVRAjGJUlVdEWZbVFMqSw4AOqrf7wengGi1OPibQFQgeIyDbAzmGSgVLFVxRh+MF5jx - YLRi4ckBx/ElS5YA5UrS+y0Em3R0dLjdbplMFqHpQDNGhF0BQEZFU4pEIoFAUFdXB5Q3GIbl5ORc - f/31W7Zs+d3vfgfz5MaNGy+55JIlS5b4fL6enp4rrriirq4OPZzBYCxfvpzP53/xxRctLS1CodDn - 85WXl5933nm0gJ0g5oYFGHmZwVaZMT8Y5pMfmw2TdU1NzQsvvADWEjJMjo1RtKQYZWzDqIbBT4Y5 - Zvl8vlqtRuu6zWZDjnYTKgwqAPVdSB9Jhn1NsfBChdZ4LLwrQkd27tz5+eefO53OG2+88Z577kFT - JKRnmFxgOdUcNDnAhs/hcIyOjhIEUVRUBD5aJEnCsjcrJjJoh+Li4hdffBEEAIj+UigUwKfV19dn - MBgg/GxkZEShUIAoy2azzWaz1WrVarUOh+OOO+7YtWtXMBhct27dfffdBwH/8VXCPp/PZDIZjUZk - yEoEBEEIBAIQUQiCeOmllz777DObzfajH/3oxz/+sVwul0gk4D0hEom8Xu/w8DCfz9dqtXw+v7e3 - l8fjRXdvJGYzmUxqYjMMww4ePAg/QqHQyMiITCYDYd5sNj/11FN79uzx+/0NDQ133XWXRqMBqdjt - dsOOGaKCCYIQi8VqtRpkqkAgIJPJtFqtXq83mUxarRZkM5PJ5PP5cnNzYTcPMrxEIvH5fAKBAMkq - vb294H0N2/Gvvvrqt7/9LXCoLlu27Pbbb9doNGjfDKqQzs5OLpdbWFh4+vRpkiRLSkrAJxYKlp+f - D6oTDMOcTufp06dzcnJAWxQxrGBIGo1Gr9er1+s3b96M9B0QiLhkyRII6SRJsrW19dChQ+eff/49 - 99wDJq+amprbbrtt8+bNCxYsWLNmzWWXXfbGG2+Mjo6uWbMmJycHC3vlCIXCBx54YP369aDde++9 - 9w4ePHj55ZfffffdOp0Ox/H777//2LFjra2ta9eudbvdPp8P5ZOvra297bbbeDxeVlYWspT+8Ic/ - /MUvfgEujpmZmS+//PL777+fn5+v0+meeeaZs2fPgltm4j1wLJAkyeFwIAQuOvoaPkdBQQGGYSBm - 83g8u91uMpnkcjnioQkGg3q9HsTsnp4eg8Fgs9nOnDlTVFRUWFiIZi0Gg3Hs2DGBQFBYWGgwGBgM - hlwuh41pb2/v/v37i4qKampqhoeHh4eHBQKBVquVy+UDAwMdHR3ghJ+dnb169ery8vIppqqKuQuB - f4HgnToNwu+GhoaHH35427ZtTqcT4pPLy8uDwWBubm5vb6/NZgNlU2FhoVQq9Xq9BoPB5XJlZGSA - F/SuXbvkcnldXR3YcKirxvDwsFgshu79+uuvo5cCgaVcLrfb7eD4DbESCZrgQBTJyMjIzc3t6elx - u91qtRpcZOM/AZZXtVoNEQ0+n+/EiRMgCHV0dAwNDXE4HGAPxnG8srJSKpWeOHECVk+DwSCXy0tL - S4eGhhDbuUwmA+OzQqEA31232+1wOHJzc0GckMlkdrsdInsdDkcoFIJGw3Ec1ZfJZPb09PT19RUX - F0NFBAKB2+0WiURAmtjd3d3T0wM+bkieAd832Ej88Ic/vPvuu4uLi6mr9pwHTKrV1dUPPPDAd999 - t3//fpi3ORxOXV0dODYPDQ05nU6gz4C4d7fbDY6EWDhUATY84LQMEwXVOwnHcdB1ooaFXqTRaIBB - Fh0ET3Iej2c2m4VCYWNjY29vb29vL9XRSalUBoNBUAcrFAoOh1NdXX348OGenh6kLYJY8VAo5HA4 - QDlFkqRWq0XJRzweD0puDxJyIh9drVabTCaqwpEkSZ1OB1papVIZcRZ807KzsysqKj7++GPoeEwm - 0+fzgRIBFE8+n8/v9yNu7Wgg5+2CggKbzeb1ekGXDfwdbDb7hhtuSJzUJkGAnqKpqUkgENhsNlBk - RHiDO51OCNTHomI5geJUo9FQVYEQ/R4RgHn//fdfcMEF27dvNxgMBw8efO211/bs2bN582YIM4R4 - curD4dUQTAqxCfBNox3mx/UPmp8AX+C5QclONSDNJYxVqeSL2Vh4GQDDBREmWIaNCHJ5xcIKTjxM - yQCXwROiaWOApHcSQAWAf8kw4F+wOVDLQz2LfV8MVqvV4KVWXl4OJGd4mKpncmVDRUqkw8W5DLi1 - IL4FXQaBzQqFIg1TrY4FsB1hGMbhcKRSqUajQdUpLS0tLS2Fy/Lz86l3IX2tUCiEJTwYDIJsCSxK - 8avP4XBAzJtcmWGz0tXV1d7eThDEsmXLVqxYEa0P0mq16Hd0KNq43Xvp0qXoN1WiIEly+/btR48e - DQQCtbW1jY2NKpUqfpUzMzOp/4IXAAJkjUaAnUE0ILk6hmFCoZAgiCuuuOLIkSODg4NWq3XlypXN - zc0gt1CLsWbNGjiCfkSo2NERkUhUXl5OJd6LFqLAJKJSqX7xi1/U19dLJJIzZ860tbW99dZb7777 - bm1tLRAWHjp0yGQyicViq9Xa29uLKnXq1CnwSkXPRBsImL7KyspqampA8sdx/Ouvvx4ZGXG73f39 - /SCNgMnFYDD09fU1NTXpdLp33nknGAwuXbpUrVbX1tZmZmaCviMYDCoUissvv3zBggVgsVm8eLFC - oXC73RDMIpPJFi1aNNb3mgZkZGRETGIcDgeNCJVKRXXRjOha9fX1VMUlOrt48eJ169ahKAmqxamu - ru7yyy/HoujopgKqi0fEqbGc0xgMRlNTU11dHR72G0emeGR2ppaQKoGQJHnhhRfiYUQ8mdoUyKoD - x6EDwKSB1sQJ1RSqiQyJyAKZyI0YxRANd4EwBjo1MH5KJBLwGILGBNVc12SKAAAgAElEQVSVWCwG - 3wRGODQG1QVkYLDXgUITKoWM/yASg00vor4ej8fj8UCkMWoT5AgAeqLOzs5AIGCxWMA/4rzzzgMf - HJlMptFoQCCfUAPOAUDPvO6666699lqz2QyWZBzHgfEBfARAa2w2m51OZ35+/r59+xQKBTB9ut3u - 1tZWaMNVq1aBZI7jOFqGQLDs7e1F6RIBkJwJdvyo2SGhAEiebDZbJpN5PB5qGlcgoAW9P4ZhIICJ - xeKenh7wP8/MzHQ4HBdccAGot55//vl9+/bBzHnLLbdceumlQJZ24MCB6upqkUgUDAYHBgays7NR - V4kD4AukHgEVOYZhQJEd7UYUCoWEQqFQKFy/fj1E48vl8vb29oKCgqampoGBAbFYfPLkyYGBgdWr - V8dcIsGR7dtvvyUIYsWKFTBAUCOAmA1UphP46omBJMnMzMycnJxjx44dPnxYp9Nh4ZkNlPj/+Mc/ - jEbj3XffjQxFyOYEvi1Go5Fq9OLz+T6f79ixY9XV1aDycLvdFoulqqqqurqaJMnR0dH77rvviy++ - OHz48A9+8AMcx0dHR2FpQzMnHo47w3Gcy+Xm5OSADiK6/OAvMA8H9ViA1rNYLMPDw6WlpeCdMSH+ - +fQBHg4OnemCjAnUV0FBmaAuDzCWXTMlYjYWRbdA/UHdl6CxF3E7dc8NmLTyI+LhMXdF1PLEeQuc - zcrKAubkqZct4u3xEcdpBM2S1As4HA5YY2bjaETzL6oU1ZktusFhcwbRicC9t2PHjpUrV+bk5Iwb - aEBtvUkANpGgnUXPoZaWuoxBFxqre0frd6gVjFls9Bwej5ednY0CkseqTkyBIeJ1VImIKiBRLyO+ - T2oFLcBgMIRCYUZGBiLjHUsCiS4ktUHAmE+9CwjkhUIhmvU0Gg144xcXFy9atAjH8eLi4qVLlx47 - dqyzs9NkMkE7Dw0Nud3u9957b/fu3eAui+P4yMhIKBSyWq1er3csJRSHw0FCF47jQIb8r3/968CB - A2ARAv4h8KMrLi6+/PLLt2zZ8vbbb2/ZsoXNZi9YsOCuu+5qaWkBpY9EIhGLxagDRHydKfbASSDi - g1L7Q0SpsKjeEl1O6sGIbxp9KqYScyrCNtw+ODgoEokg7VyCWxDoIYimnvpA7PvDNub3QoUf68OR - Ye9W6htBmRuxfEygtuFbqF9wQpt1VHi4SyaTgcd1xAVI+wZSBEmS0VRMSFGORXUkMszFQK0sOoKe - IBaLwesnZpuIxWIcx5uamiIqjuM46ICmc8ikG1AHoKpooSUh5giOoPAxkH/QlXl5eRhl1o0ZZRah - kE0Q4A46Ln09QRALFiwA1TnqQuCFtG3bNpSb89ChQ3fccQcYn6nsmOB5lFKQJLls2TI0Xpqbm0FK - BOm0pKSEpDi0xwQoE8eai1LRe2FOk0gkDz744E9+8pPXX389MzOzoqIC1lPILvnCCy/k5ubecsst - GIYByTm4J7DZbIFA0Nvbe+jQIY/HMzQ0VFFRwWQygQc0Pz8fnOcZDMa33367devWO++8c/HixSDV - L1mypK2tDZZdlUq1a9eujRs3gtIHvBVAqK6srIQVU6lUQohZdJvY7XYg6JmNG9dUANpBJpN5vV5Q - VgL//CyVtLH0doAHfSX0WJvNlpmZmYikDQvT2bNnVSoV5Lihnk2VmD1FRE9e6fNJjEYj0CMhTEPZ - wJOKz+fHHFrUjQ714IQ4KtMKqC7AwQvOz9FnI4588cUXiAbGbDb39fW5XK5os2rMeyf9EZF0TYbp - 8fAwxnrFWN07ThliLufU3TYyTyVSneiyxTkb81FUrRlGEagcDgfQDiN+qTjFSATQqsPDwyRJ5uXl - ITd7kOqpklsoFJJIJAsXLuzp6UGacijA0qVLc3JyqCo/jUazZs2aiEQycDGHw+HxeJD0m3qWyWQu - XLiwoqICp3jrVFZWAvvLrbfe2tLS0tbWdvDgwfb29uPHjz/55JNisbi5uTm6UlSNDBkO85vBKS7m - q6N1K+kMr9crFArPnDkjFosTUa4BIrrx9CB6op62V8dERD+PKE98JchYdYnTeRJ5Pvo3QotBFeNn - dsikA6J1dqhNIhqNEc5LQm1YdAodSUp7Mr6fAyWRy6jKGofD0d/fj7gDent77XY7pCGYZq0KHlbu - Y+HoQmqDk2HEeUKEwi76+Sko9f8/p7W0tNx4442vvfbanXfeecMNNyxZsgQY5jdv3uzxeK688kqF - QoFh2MqVK4uLi998882CggIIJ3n22WcNBoPb7e7q6mpqauJwOLt37/Z6vQMDA4idwev1QsaN++67 - r7S0VK/Xv/HGGxkZGUuXLhUKhWvWrHn77bf379+vUqnA2c3pdL7++uuhUOjiiy+WSqVgG4fgkYjC - 2+32P/7xj/X19c3NzdR0ITQ4HE5OTg70mYKCglk99aVn4WEW0uv1QqEQeGqAqibxJ3i93piRp2kq - ZqcnYFbV6/UDAwNgB0vWyjQuCIIAB6dpeFeaAFa1Y8eOCYXC8vLyOEEpsFdwOBytra16vZ4Meyru - 27fv0ksvraqqmuaSzxQQ1Vmchkpdd4UtiFarXbhwYRJDzuA54KNOfSaHw0GeGvBqEFZLSkqAehfy - i0Ak56WXXnr55Zcjw7Verweat+jXkSQpFotLS0tPnjyJ2N0xDFMoFAqF4qqrrlq/fj2ycvf09Fit - VojPt1gsWq123bp1F110EY/H+9WvfvXGG28cPXp02bJlY8kD6bnYzDpQewjElo/FaU8jJhJXyc3I - 2+mxEx/jtg8ey2cw2gMiiU2a4KPw7ztigKX0u+++6+vrAy9rHMdPnjx58ODBgoKCGRG6ogkdsIno - 5WdWU/nggw9yOJytW7c+++yzyGFEKBRu3Ljx2muvBYpWqVT61FNPbdq06Wc/+5lEIgkEAmq1GuiK - Fy1aBNlqKioq2tragBsY1tyWlpYDBw689957t99+e3Z2tsFg4HA4Tz75ZFlZGYPBuPHGGz/++OO7 - 77775z//+Zo1a3w+3zfffLN9+/ZVq1YtWbIEAk9KSkpMJhNV+wBff9euXa+++iqbzW5sbETeZ9Pf - dGkOuk1SAWhVYCaeqA4XLq6qqop5F70dmR1gs9lJSWEdE+npwgEdfeHChWPR+SJA+dvb28+cOQO0 - qyBtHj9+fGBgoLq6Ot2qlgr4fL6BgQGr1QpLY8wqx4ytTS7QzinVIn1mZqZcLkc59rBwlYGlCeLK - 2Gz28uXLd+3adfTo0TVr1oAfms1me/LJJwcGBh588MGlS5ciER0eAoyy1NgwaLTm5ubPPvustbW1 - sbGxqKgIwzCbzfbMM88cOnToySefdLvd//jHP6677rof/ehHSqUScgiLxWIILqUWj1qFFDXOvAU+ - m/135jbo7TKNROD3+99//31IhIGFqcW/+OILSF4z06WbZWAwGD/96U+vuuqqt956a3h42O/319bW - Njc35+XlgVMkmOsbGxtfeOGF999/3+l0NjU1gRl5ZGSkuLgY9JWrVq1Sq9XV1dVIr83lch9//PGr - rrpq+/btQ0NDCoXi2muvraqqgiiSwsLCu+6665VXXnn11Ve3bdvm9XoJgqitrX3ssccyMzPhy65d - u3bfvn3RPkc7d+70+/2gVaHdVSJAt8Y0YHIp9OKDFrMngzkWEoayO0xuGANVQNIpzZHUlMjFgUCg - ra3NYDDglHBQq9U6MjICWYjm/AwF8m2cKE2SJC0Wi1AoTGlCddT4yW3w6KfhOA6xZEiygmsaGhqA - Jh169cUXX9za2rpjx468vLzzzz+fIIjt27d/9dVXLS0tOp0Oiko1jEe/EahQly9fXl1d/d133737 - 7ruXXHJJMBjcunXrN998s2jRoqysrIGBAZPJ9Oabb2IYVlFR8fXXX7/++us8Hm/JkiVisRji9qmT - Bk3xknTM+QE+ewE0zvMkdwuNyQFc0oaGhlpbW4GJAwvvTPbu3Ts8PJysHKXzBHg4N15+fv4DDzyA - 1BYRJM/wb2NjY319PaiYkU0PXbZ48eLa2toIoZfBYCxcuLCqqgqYC2E5w8MBCzfeeOPll1++d+/e - 48ePs1isFStWlJaWikQipIXfsGHD1VdfLRKJqJFcoVDo5MmTpaWlS5YsoT3Gacw6jLXA0WL2rEEq - 9ihkOItdIBCQSqUTYtVDt0PKboVCkQrWinHNIGSYAKm1tXV0dJQaI22z2fbv379mzRrgYJ/bmzwe - j5eXlwdOszNYU0jZmlKzOfLZW7ly5YoVKyCdDzolkUjWrFlTWlrK5/MJglCpVE888cT69etfeeWV - rVu3Qob55ubm2267DXoFhmGNjY04jgMRFCztEolELpcDXTzsV8Ri8S9+8YuXXnrp3Xff/de//gVZ - 9BobG3/2s59VV1dXVFTYbLZXX3318ccfZ7PZwWBQo9Hceuut4PlWU1MTCARgcMHzNRpNXl6eXC6n - ZQ8acxgwOQOxglqtnmg+zjQEbWFLEaCrdHR0uFwutIjDOnLq1KnPP/+8qKgoIskljfhAQi/VOT96 - QwULHEoJEXE7+h0dhkB+n+IxwkNeLpe3tLRQ0zFQH87hcKizAdpJMpnM5ubmsfKb0KAxG0GL2TQw - LpcLCTkmuoGA6yFDbCLC8CTKNu5dMHfv27dvcHCQmv0STu3du7e7uxtRR8xn4DiuVCpT1w7wIYAC - zefz8fn8VPuN33777VhUzKFAINi0aRM6TpJkfn7+Rx99tHv37r1793q93sWLFzc3N0PaMyjh+vXr - r776asiACrX48Y9/7HK58vPzkRhMkmR5efkLL7zQ29u7c+dOu92+atWqhQsXAkkGm82+7rrrLr74 - 4j179rS3t9fU1CxZsgQC1EmSXLt2bVNTU2VlJdpwlJSU/P73v2exWCBpp6iJaNCYWcBoGh0dxTBM - JpPNajEbD0c4z8OlBGXVTul8HgqFXn/9dUiXiEQyMHKeOnXK4/EIhUI6+mCiSEQxhDTXcc5O6Mn4 - 9zOYRBMWosWX+gqxWLxlyxY+nz/ufpLGrAZJkvPEyRRAi9kTBpvNnqjVN20BtZiiF3EiTTE6Ojq5 - 7CDxAVpYm8320UcfDQ0NRXPS9vX1nThxor6+HhIgz3MAfWtK3bGAZAWRhKXoLQA8Kt8YOh5xjVQq - bWlpWbNmDf59fiy0ulOpbjEMg+jr6HdxOJwFCxaUlJRgsbjf4S0XXnhhBCv+4sWLo0uViuEwCaQn - LwONuQEYCJBvb270sYyMDD6fDynBZ7os0wGYGA0GA5vNViqVKdIywFv6+/sPHz4ckecJVOeffvrp - HXfcQYdnzyLEF93HOhWTl5TGHANBELM9J9mEQBtSJgyFQqHVaiFQfm50kVTvGAiCeOutt1LxZJCy - vv766/b2dofDgX0/4wuTybRara2trb29vcCJlYoyzApAQ/X29vb29kanqkoKYCxA3uzpYaLCw+zi - 0cejHd58Pp/b7UbdgHpBdGtA+paI41TFPBYloCLjOfVUnPlh3GQw0wOPx+N2uyPcQGjQSCLmhgUY - zW/zimYPaq3T6TIyMibh75YgIHL4yy+/jEiVioXn24GBgV27djmdTpRhi8bcAz5G5jMacwxMJjM/ - Pz8+sfFcAi1mTxiQamIubUwn3dcTFBUgx8PkXhEfOI4TBLFv377o5RkLL96Dg4NWq3WejOexACJf - UVFRcXExymuV6jem+hUTQiAQ8Pv90d5rWCx5OI4AD3QvwCUT867oUzHl7Rm378HI9fl8Ho9n/ljn - aNCYHGCA2Gw2r9c7NxQHiQOn5GJIBZhMptfrfeeddxwOR/RcBK39xRdf2O12bM4R0NKgYl4Nq/mM - 6PnE5/PNVSUaLWZPGEajEbIjYPN+xvf7/bBHH/dK4JdKLhC/zpEjR4CbNOJzwL9nzpw5deoUyqs0 - n8HhcKbBSwfmynQbGmKxWKVSxcm+Pt8A7SCTyZRK5Tzx3aJBY4oAfkd6sCQRsF4cPHiwo6PD4/Fg - UbIWOBadPXsWwrbpxp8EyDDGOpvcdyXxaTTmPKDDDA0NpcjRcsZBi9k0JgMYDF6vF1jKxx0bUx88 - MT17SZL87LPPurq6vF5vtKsw/LVarbt37x4cHJznfuPTA6/XO7tc+9LEeZsGDRrpDOQ+LZFI6Ekj - iYDG/PDDD10uF7CssdlsZOxCXFnd3d1Hjx4FNcfMFnhWAOKeqAgGg4FAIOYuKIlbI5Ikg8EgPTpo - JA6YWvPz84VC4ZxUotEUaJMBPYng4aTWwLQcv0Gmrv4nSTIQCESk5sZxPBAItLe3m81m5MUHUzyO - 4yiQLBQKHTlyZGBgoKCgYCplSBPE4axCioYZoWOFgrnd7tHRUavVKhaL04opMGZJAoGA0+nk8/lA - tRATc5vbdg5XjQaNJALmAZPJ5HQ6MXrgJAlAoeJ2u7/77jswZXO5XKFQ6PV6XS4XhmGwjjMYDL/f - /+mnnzY3N1NTOtMYC8FgsL+/3+v1glaCw+HweDwulwvrcgRpvMvlggxbU29VkiTdbjdNFU5jopjD - HYYWs2lMFaFQKBQKRcjAVHi9XqBnn/Qr/H6/0WiUyWQorhhE956eniNHjthsNgzDFAqFQqEYHR01 - m81MJjMnJycYDFosFrfbrdfrR0dHg8HgVMqQJgDd/1jBgaC3Rh9iOnmk8XC2zKKiIq/XC79TREtL - fWOca+JfgOO42+3u6enJzs4ea4cBNoH5FopJgwaNmEDLHK1qTwpgHm5vb+/t7Q0GgxKJpKysbPXq - 1a2trYcOHcIwrKioKBgMGgwGq9X63Xff2Ww2tVpNz8ZxAE167Nixn/3sZ319fRDrrtFo8vPzVSpV - Q0PDwoULS0tLIeEIXPzee+/l5+c3NDRMJagKHqXX63fs2HHFFVfQtPA0aABop/FZjDgr/bR5teE4 - 7nQ69Xo9JIuKvoAgiK6uLrPZPLnnwzMhmwh1DYDj+/fv1+v1PB4vPz//f/7nf7Zs2VJVVYVhmEKh - ePTRR3/1q1/V1tYqlcrR0dGvvvpqeHh4Vjv7QcldLpfVao3pqM9gMHw+H7jxwxFwFZvOKgMHmFar - VSgUqWDNQb5wcSoFZ+N/a+SOsWjRojj7tkAgYDabvV7v7O02NGjQmDrwcPK/Kea/pBGNr776yuPx - qFSqDRs2bNu27fHHH2/4/9i77vAqqrQ/c3vvJfWmX5KQAGn0FgUBRRB1wY7YsCBW0G/X1V1Bd2Xd - FV2xoGtDxFVioQhSlSKEIikkBBLSC7nJze39zsz3x/tkntl7k3DTb8L8/uAJc2fOOXPmnPP29500 - icvlKhSKLVu27Nq166677oqKirJYLA0NDbRPcs8A8vfll18WFRVhGBYXF5eSkiISidrb23/77be1 - a9cuX7786NGjwLDBTBYXFx89etThcJCElfhfkI2T/w3+FZ49duzYRx99BDF61FFdlSLToDFaQVuz - RzCC/ahJ4Djudrs5HM6g2m9JzgN8hLrzZI6Oju5z2WqwJTIYjGC3XpC4OBzO1KlTn3766ZkzZ7pc - LrFYzOFwhEKhRqOZP3/+3LlzN2/evGXLlpKSksbGxtjY2L4NI3QMNiEBObY70yvaCRiG2WzGcVwq - lYaV/zaJPvj+4Tje0tJiNpu1Wi3UcQ2+x2azNTY2slis2NhYgUDQc4M959TpLuX4KAbtkEmDRjCA - ElVVVRmNRjoR10ABIr+OHDnC5XIff/zxRx55RCKRkKc60P3ExMS//e1v8fHxH3300a5du8aNG6dU - Kun57xnAAKxbt27x4sVcLhcums3mt95666uvvlq7du2//vWv6dOns1gsBEFeeuklFoslFoth5kE7 - j3QyeMCAkV6EcJ2a+Jbqgt7R0dHQ0HDx4sXU1FTg2UC6Ju8P8FenQWPUgxazRyoIgmhtbZVKpRKJ - JOA65P2qrq6Oj49XqVSDfaj1bLREUVSj0fStZRzHzWazUCgM9ukFRkepVM6YMeOuu+6aOnUqhmF8 - Pj8zM/P06dMkGxQZGfl///d/BEH89NNPBoNhsKcCyMkg5WhBOwu3Uruj3oDjOJfLpdr8hUIhQRBA - SocSIc6z3++H8leh3Awve+XKlXXr1h04cOCxxx578MEHZTIZtS9QqH///fcbNmyQy+VvvPHGtGnT - ehjPVcfJZrMVCsU1xRb4/X5Q5Qz3QGjQCDtQrXnBPyEjIcJwoPRobre7n9G8oLlobW21WCyPPvro - ypUrIb0c8r/TSBAEj8dbvXr1uHHjWltb6bIIPQOYnwkTJnz99dcJCQkikYjUvGs0mtdee43NZn/w - wQf/+Mc/kpKSwPAAqg1Sxna73QaDoaGhQSQSCQQClUolkUhAPHY4HARB8Pn81tZW+FuhUMjlchaL - BV8TbABkGlSgyDiOO51OiMPncrnUyAsiqMQmGRY3TPNHg8YAgxazRypQFNVqtcESFNpZpyc9PT1M - CFJ/6Hp37sFwCt94443z5s2Dkx3+hSSlaGeacYIguFzumjVr7Ha7z+dzu909JLvqPzwej8lkAv5j - kKyCwVwI+XdwCjTyZcGNPNwUySaTSSgUUhUHPQBeqrW1tbi4uLq6eufOnZMnT540aRL5UqDjMJvN - u3fvrq+vt9vtUE09gITDH72ah/5/SrKFcLYVw9hMJhNkyqEZHRo0uoPb7QaLHwmv10sQBIfDCfON - M1BiTFNTE2S16HMLJJFav359bm4u6EyD64OSB+Z1113X25GH83k7qIiNjQXRl5wBmFsGg/HII48U - FBScPn26ubkZxOzy8nI2m52UlMThcOx2+759+7Zs2XLp0iXwBVu8ePGKFSu0Wi2Tyfz222/tdnt6 - evrnn39eXV2NYdiMGTNuvfXWjIwMYDb4fD71AxEE0dTUVFNTc+7cOa/XKxaLp02blpyczOPxGAyG - 0Whsb2/X6XRUpzO/319dXa3VaqVS6bX57WiMMoQ1PQhPMJnM7rx2hxg9UHQmkykUCsMk41ef54rB - YKhUKj6f310LDAaDlKu7lMbhQZFItHLlykmTJg22jY7JZLLZbOhlkFZIsL8iqVYgLS3BgmVHR4fZ - bA7gC4cdfD6/t5Z2ojNWv7i4+Ndff7VareRFHMetVuvZs2dramqcTmeXj4M7nN/vD93jAJZWz/f3 - HHVGBCH4hvCpNsfn88NEPUeDRnjC7/fX1NQYDAbqnnU6nRaLhcyLEYaA0dpstgGpT5uYmNgfGRvp - pFNKpXLu3Lk958uE4J0+dNGro350AL5sU1OT3+/3eDzU12cymQRBxMbGZmdn+/1+qISKIMjrr7/+ - j3/8o729HUGQ77777umnn25ra1u5cuXSpUuZTObGjRu3b9/udrvNZvO7777717/+9Z577qmoqJg4 - cWJ+fn5hYeHy5ct//vlnDMNQFJXL5QwGgyRnBoPh+eefv++++zZv3rx169Y33nhj1apV+/fv93g8 - CIJs27btzjvv/P333yFPGwy1srLy7rvvPnjwYHfpfmjQGFmgxexeQyKRKBQKEA9oZjTcAOeyz+dz - uVzU+CK9Xh8XFzfY8oPL5aqtrTWbzUOW7YMgCKlUyuFwkO6rfCEIIpfLpVLp0HuP9wyxWNw3Ri0q - KorH4/38888VFRVUA7VCoSguLrbZbDKZDOkMJKMCWGGz2exyuUJnvzweD5iquvyVIIieS8eDGx6I - 98FGMARBwM9i2NlBlJLkiT7ZaNDoDiwWKyUlJSIigrpNZDKZRqPpp+Q5BPB6vXCa9ZNCDeAREeJI - eiVsQ5v19fXg29z3wY1AEAQBTEhRUZHT6QzW4aamprLZ7NraWhBlDQZDVVUVyOTbt283m83PP//8 - o48++vzzzx8+fDgnJ6e2thYYKhzHbTZbdHT0u++++/e//33dunUff/wxl8v94IMPGhsbwXYNYUeg - nt6zZ09RUdHUqVN37dp18uTJzZs3GwyG119/vaGhAUbS0tJy7Ngxu91OjvDjjz92OBwJCQnA1dCg - MdJBi9m9htlsbm9vB6X1tXZ8Dz16S8uBe2hpablw4QIUOKX66w7KEClAURRCjwa7I2qPoSjsORxO - GJoo+/BFwJFk1qxZU6dOraura2xsJI358Me5c+cSEhLGjx/PZrMD/A8xDNuzZ8/GjRvfeOONvXv3 - WiyWq9qQ4dfffvuttLS0OxOQ2+2urq42mUzdhWsajcZDhw41NDQ0NTX98ssvzc3NZL/wR1VV1fHj - xw0GQzjYtId9ADRohD/Ai4p6JdxO12DACFUqlUwmC5/MjoOaT85kMnm93kFqPDwB86nT6cClET50 - wAxPnTqVzOECoXZ2ux3DMCaTqdPpOBxObW2t1+vFMEwgELz11lu33367SCSSyWQxMTEcDufBBx8c - O3YsiqI4juv1+vz8/KKioqqqKlA3UxXfKSkpy5cv37BhQ0JCAo/Hmzt37syZMysrK4HYzZo1i8/n - f/vtt62trUhnJOCxY8euv/766OhomhLRGB0IL+vWiMCQGSpp9AFAVHQ6XXZ2Npg0SVoyNAMwGAw2 - m02r1Q5NdwiCOJ1OMJCGz7IMMSKuzx9FIBDcdtttra2tH374oU6nmzRpEvS4ffv2Cxcu3HbbbZWV - lXV1daT2wePxvP/++5s3b4YCJywWa9euXXFxcWvWrJkxY4bf73/44YcvXrwIKffAey0vL+/OO+8s - Kyv77rvvnE6nz+dzOp0rVqxYtWpVdHQ0giAWi+XgwYNfffVVeXk5QRBer5fFYq1evfquu+4CLras - rOzRRx9ta2vDcdzr9b7wwgsxMTGvvvrqlClT1qxZExMTQ3TW7n755ZdZLNZLL700lMumO4QJ801j - dKOxsVEsFkul0uEeSF/g9/tNJpPL5QqooDEi9s6IGGQP6BVxyc3NHfwRDQ98Ph9UWulyNpRKJZPJ - HDNmjEAgCE4w9uWXX9rtdlLVwmaz9Xo9j8cjCGLRokXHjx9//fXXt2zZEhkZOWHChEWLFo0bN47P - 53u9XpfLhaLo2LFjBQIBWXZ7wYIF33//PSQ5o46BIIjJkyenp+NHZUQAACAASURBVKe3t7fv3LnT - bDZjGHbq1ClwL0cQZNy4ccuXL3/vvfeKiop0Oh1YxVtaWubPnw/O5yN9rdLoMyCj3okTJ44cOXLz - zTd7vV69Xn/VwjHhCVrM7gUGVe1KYxSAz+dnZWVFRkYOzVIBqhYbGysUCj0eT5gsToIg/H7/oKZb - c7vdKSkpcXFxx48fr66uzs3NZTKZPp/v6NGjHA4nOzu7sbGRjExjMpmVlZU//PBDXFzc6tWrJ0yY - wGQyz5w58+qrr3722WfR0dFKpbKjo6O0tFSj0Sxfvnz+/PmFhYWffvrp6tWrRSLRTTfdtHTp0o6O - jrfffnvPnj1TpkyJiIhgMBjbtm378MMPxWLxiy++mJWV1dLSsnHjxvfff18kEi1dulQoFDocDp/P - Z7fbly1btmjRooyMDJ/PFxkZWVJSUl9fT4rZR44cqampyc/Pl8vlgzRdNGiEG2JiYoZ7CH0B6Rjl - drvBdhcmp+61A+C/r+Vph1VntVq9Xq9KpeqS1EJenhMnTuTk5FDzWeI4DmpfFEXj4+PJGAfSu2rW - rFkvv/zyiRMnCgsLKyoqzp07V1BQ8Nhjj91///0SiUSj0UBmNepgQKRva2sLiIciCKKmpubrr7/e - vXt3e3s7eKK1trZSR3vDDTd8+eWXBQUFQFj379+v0WhSUlLCswQpjSEDfH2BQJCSkhIVFUWt8zfi - MFLHPSyA+sPDPYoBRviYQEc0ApKQIUPlo44gCKQPGey+QgQMyWAwDGpEnM/nYzAYCxcuFAqFpaWl - HR0dKIpeuHDh3LlzSUlJkJvH5XJdunSpo6MDx/Hjx49fuXJl3rx5EydOhNzm+fn5qampJ0+eLC8v - B50Il8u99957V61aNX369CeeeGLKlClut3vy5MkrV66cPHnyggULHnvsMQRBSkpK7HZ7e3v7jz/+ - yOfz//jHP955553jx4+fN2/ea6+9plAofvzxx0uXLpHZzsaNG3fXXXfNmjVLoVBotdrZs2fb7fam - piZglXw+3+HDhwmCmDp1KkjvVN4iHHzIadCgQQUcF2SqSxpDBjIGZ0BSuI1coJ3VZEDo7VIchYCp - jo4On88HRUZxHIdQxwsXLpw/f14ul2dlZQXEP2MY5vP5brrppldeeeXbb7/94osvnnjiCYIg3n77 - 7fPnz4NEzWAwMAyD9oHG7dmzx+VyRUVFwaYgx2M0Gp966qlNmzZxOJwnn3zy66+//vHHH/Py8hCK - VD9p0qQJEyacPn26tbW1tra2tLR08uTJcrmcpn3XOGAVpaenL1y4UCKRjGidC23NHm0gelm9s7f3 - 0+gOkPMDXLmGstOmpibw1woHsgRjUKlUg6qNBk73xhtv/Oabb86cOVNdXa1WqwsKClpbW++7777E - xMTIyEhwgYP7S0pKjEZjYWEhKER5PJ5KpWptbbXb7WazWSwWKxQKiDHTaDQ+n4/L5WZlZZ06dWrO - nDkpKSk4jqMompSUJBaLbTabx+P5/fffW1tbb7755okTJ4J2H0XRrKys9PR0SPoyduxYmUzG5XIn - TJig0+ng6zAYjOnTp2/fvr20tDQ/P1+tVldUVBQWFsbFxSUmJsL6oU6az+cDhp7enjRoDC+oCSBY - LJZCoQhwx6UxNCAIIrjo1zWInrU8zc3N4JsNMjZC0YC/8sorDQ0NN910k1KphHRlDAYDcoJiGPb9 - 99+npKRkZ2dHRERotdqsrCwURd9+++3i4uLx48dDI7W1tRMnToRmCYK4cOECiqKwHeLi4thsNgjJ - +/fvLykpSU1NfeuttzIyMoCQxcTEnDlzhqybzWAwHnzwwVOnTh04cAD84JYsWUJ7jNMAjA67Zhdi - NrCM9BIPRpiX6wCA2jIUl13g6W02G5vNpnML9xNANthstlgs7iHv92AgrKzZSGceuEF9fZhtmUyW - kZGxbdu2y5cvJycnnz59Wq1Wp6Wl8Xi8MWPGSCQSoVAIIwFW4+DBg8ePH4dlDy3ExcVFRUUBnyGV - SqmRPyKRSCgUAusAj7BYLAaD0dDQYDKZ2tvb3W43h8OBjQbJYBgMRkJCAoIgVquVVLWQBefIaDSd - Tnfw4MH8/PyZM2fu3LmzsbFx7ty5sbGxARF0CILU1tYKBIKIiIjRQWxo0BjpUCgUoL8Lt1MXMLqd - 2MkUbr1VZAdMC5zVAzy4MEN0dDSbze7o6GhsbDSZTGazmcFg2O32rVu3njx5MjU19ZFHHlEqlWhn - 6fLy8nK3242i6GeffWY2mzds2AAaZKPRWFJSwmazY2NjORwOyPZff/11ampqZmYmiqK//vrr2bNn - s7KyEhMTWSwWl8sl55bP5zOZzMzMTLVaDYlam5ubL1++TA4S7pw+fXpkZORnn31ms9nS0tKSk5PD - pGIujWHH6LACBorZBEEYjUbgL4dlQOGMMDEYdgegJWaz2efzyeXyEDNLg+KATDtJow9AUVQmk3E4 - HLvdXlFR0d7eDlmyhmZKoRdQrwxBdyFiUBk+chvOnTt3375958+fB5Zi5syZiYmJCILweDwWi2W3 - 2z0ej0gkIghCKBQuXbo0MzMTnvV4PEqlMi0tLTEx0eVytbe3g14f6UxmbjAYINwd7SzJDq8D7AI5 - 56RdBW5jMBgsFgtkbyi0RnV+w3Gcy+UuXLjw73//e1lZWWRk5OHDh5VK5dSpU6np+sgGQU0w6jlC - GjTCH6RW2uv1+v3+CxcuNDc3i8Xi4aKbbrebyWQG6NPNZrNAIAjDohIDAvgEDoejpaUlPj4+9Nd0 - u90sFotUVnq93lHv889ms4VC4f79++vq6mw2m9FoJG3aeXl569aty8jIYDKZQMugNgpBEFwu95Zb - btm8efNLL7105513CoXCPXv2nDlzZtGiRXl5eVwuF2hZSUnJc889d9ttt7HZ7E8++QTDsAceeABS - 0jQ2NqIoCp9m6tSp0dHR27dvT05OnjFjRkdHx8aNGysqKqgUDcdxkUi0ZMmSf/3rX2az+emnn6Zz - lNAgMTrOsUAxG8fx3bt3jxs3bsKECTR7N7JARuyEaM2GGxQKBdKpVqTRZ4BxA8dxo9EILtxDeUCA - wdbhcHi9XjC2jI7jqUugncAwbMqUKdnZ2bt37/7pp598Pt/kyZM1Gg1BEHa7Hbz3gS2Ii4uTyWRZ - WVm33347sBR+v7+oqKiyslIikUDOBVKcBluHSqUiuQqyX/JfkUgEWhWXywXJOWA8dXV1HA5Hq9VC - BTWytBt8EY/Hw2AwZs6cuXXr1t27d9fU1LS1tS1atGjs2LFdFgeKioqiHYto0AgfkHXvBQLBcJXI - hsOkvb1dKBRKpVK0My0IiqLl5eVJSUkajQYZLRxqMEwm09atWx9//PFQ6jLAtLS1tclkMjiNURS9 - dOlSdHQ0eCYP/niHGvDd1Wr1HXfccezYMRRFs7Oz2Ww2WF+uv/769PT0iIgIqpYhOzs7NTUVWMFH - Hnlk7Nix77333ubNm0EIX758+cqVK5VKJTh1IwiyfPnyU6dOffrpp36/PzIy8vXXX587dy6Px0MQ - ZPbs2XPnzo2Pj2cymVqt9s477/zwww83btz4ySefuN1uNps9Y8aMhoYGPp9PjhZF0fz8/A8++IDH - 482ePXsYVVc0BhyjmxcNEYFiNoPBWLBgAR10NHLBZDJ7paYdlZRm6OFwOMAcKpPJhtg1gLSskizg - 6Ab4eCMIAnU+Z86ceeTIkcrKykWLFun1enA5gUgz8issWbLk0KFDv/32W1paWkpKCoPBKC8vf/nl - lwUCQWxsbExMjF6vLysro4b8kQ7h5BVgCECinjZtWkpKyk8//ZSVlXXLLbeAAbympqaiokKj0URE - RJB+6VT4fD6Px6PVaqdOnfqf//zn5MmTer1+1qxZarUa6YotpvcmDRphAtieEDnCYDCUSqVQKBzG - kWi1WiaTSR4RcDE7OxtGOCwDG2yQykeQ+kIhsnBPZGQkHNGgNuVyuaPYLRneKzY29vnnn1+5ciXS - 6bxNEASTyYQoKlJ9DEtl5cqVTCYT2H4+nz9nzpwJEyaYTCawb2s0Gh6PB6wF3L9w4cLnnnvObrcT - BCGRSNRqNclzRkREvPHGG+BSQRDEww8/nJ6eXlZWZrPZoqOjp02bplAoXC6XRqMhw7ORzpQHubm5 - ERERyOjVEF1rAGaM/pqBYjaKouFQu5XG0IPeD30DScj5fD6fz8/IyNBqtUNmhCQIAgIEuFyuQqEA - JfEo/o4cDkcikYjFYjIoevr06WPGjLFYLDNmzIiLiyM/h0ajEYlEQMtTU1PnzJnzxRdfVFVVrVix - AsOwDz74oKmp6cUXX9Tr9cBbkJZnEuQ0kn4fYrEY+AmNRgN+blu2bBEIBHq93u12b9y4sa6u7oEH - HtDr9fAgyQdDC2KxGDqCqOyWlpaxY8fqdLohmjsaNGj0D3K5nMfj4TheUVHR3NysUqmQYTpvu8zX - QBoJRwe6DNNjs9kQkxU6yLmCL5WcnDzqM2wxGAwejxe8HrqUfCQSCfUGBEFUKhWsbYQiCSMIgqIo - GHI0Gk1kZCT1BvJvqtc3CO2zZs0iCKK7XJ44ju/atQvDsLvvvlutVo/u73JNwefzGY1GpVIZkND+ - WkMXKdBGqLg1QocdPvD5fL21hIc/IAprULX7sOr0er1MJgOF8RAbE0A3jyDIoJaqHnbArCYmJj7x - xBNA40Ewjo2NfeKJJxYuXDh79mySXZg4ceIzzzyj1+tJz8D777/f7/fv2LHj5ZdfBt38kiVL5syZ - A0yzTCaTSCRg4oD7lUplSkoKcAwMBoMgCJVKNX36dIlEolAocBy/7bbb7Hb7J5988vLLL7NYLKfT - iaLoihUr7r//fpVKBSshKSkJUtGQrwD0Jjs7OyYmxmAwzJkzJz4+nvYMp0EjzAEMRkdHh9vtRhBk - iCtKhIjRxwV1mQ2nn685ypicHhA8e13OGzX5CNi64Qp5nXwK0nyCMEyufyrDg/5vvQxoATgTiKqj - xl5BNhOn07l79+7s7Oy8vLzRmlbgWgMZJVdbWyuRSK7xaildiNkjdDrAZffaOUAHELAlDAaDWCwe - 6RXqAmAwGKRSKVVZO+CA2QOS43a7m5ubbTYbiGdDM5Nutxu8na+FMic8Hm/BggUBcul1112H/C83 - IJfLFy9eTL0SERHx3HPP3XvvvUVFRXK5XKfTKRQKoVAILMIdd9wxe/ZsMIYDZs+enZeXJxKJqGzB - qlWrmEwmBAXw+fx77713/Pjxx44da2xsTExMnDx5cnp6ulgshiUhFotfffVVDodDjcEBPqOqqqqt - rW3q1Kng5DmE80eDBo2+AzIgIgiiVCrhcAgrchlWg+k/XC5XS0tLcnJycPzOMI5qpCD0WQq4M8CN - CwD2/3vvvffWW2/V6XQ9rPyAL0WV2LtMQcLn82+++eZJkybRpuxRA7QzhU1WVtZg150Jf4wGDo/M - CMLn8yEd0SB1FG40daBAjfUaZS+o1WoHW4yB5Xf69GmDwYDjeFVVldFolMlkQzOTKIpCui+fzwcl - nSExzyj7jlSAbTngSpe3Uf9LEASfz4+Li4uLiwu+OTo6OsARkcfjQU4XKsRiMbVBoVAIOdgwDAOf - AqpLHoqi3SVN3bFjR2Nj4+LFi2NiYkbxl6JBY5SB3K0mk8nhcIyOejNhCJhYi8Vy4cKFvLw8gUAw - 6t28wxww+Tk5OQH26hAf7PmGtWvXUlPB0xgdQFE0mIm6BjEaUmWgnRm24Swe7I7CAYNRV2ywnav7 - gP5XUBualyIIwmq1er1ehGLuGALA/CQmJorFYgzDmpqaLBbL0HQ9jAim8cHrBMqbBeQJJzoR/EiX - K63LK+RFskGwVwfkIuquBYDNZktNTZ04caJIJEJ6PFj6vwVo0KAxUAgw9I1Ezbvb7Q7/IwVmVSqV - jhkzhsfj0TJ2mKC3MnaI4PP5tIw9KhH+R83gwePxgIfpaLBmA4Yg+YdOpxMIBFarddhPfGC+w00q - HnBAaaVePTJcu5pMfz2UawOYvNbWVrfbzeFwkpKSFArFSOT8eoXgtwu+AtXgAxJvkPFgwY90OWNX - 7SjAIy6UZmGRPPLIIz6fj/RRD+6aRB+2QCgA3/XRvU5o0BgMkBLgcGUa7w8Igmhubo6JiRkRSYn4 - fH5MTAwtgPUfIQZph9LOYFCN0ZdTgAbg2vyssJ6vXLkil8vFYvHoEbOHABEREXw+HwSq4Vo98P1s - NhuKokKhcHTHopvNZoFAEKIChfwiMEVD9oGgL7lcPixlVCGtCOhcWCwWrIdhJFo4jvv9/mHUAQE/ - UVdXx2KxoqKiguOCBnZm+tbamDFjQrzTbDbz+XyBQNCHXnoAhmF0JgsaNEIHNUcDMmKdxlEUHUGC - K60K7D+AQwi+3jcmtstH+m/1ob8yjdEEtLPeDSSVGOXm0IHF77//3tHRIZfLNRoNEKphFLavBWeM - 3npfEwQBThpDLGYjCDJu3DgoBTmUXYNMq9Vq+Xw+1E5wOp0DuzACPKuveqfb7bZYLCBpD+AwegUU - RaVSKRTfCk/6Hfr+HfBphAabmprMZvO1cIbQoDGAkEqlEG2IYVgYZhoPBXQy52sKOI7DWg3GQHXh - 9/sxDOuZmgxsjzRohD84HA7onmhrdi/gcDgwDFOr1SqVarjyAwOBhHzgo55YKpXKEN8R7Ldut7up - qcnlcqWnpysUiqEx6kIvXq/X6/UyGAyRSDQEfAx06nQ6HQ5HZWWl3W5nMplQk3lgu/b7/QiCsFgs - giD8fj+LxQpWWkOPoGIQCAQymWxgd0cfEq5AztKw3SChDwyiAAZ8AB6PZ8At5DRojGKQlBdChYd7 - ODRoXAVAN9va2mpra9HOQpUQheT3+2NjYyMjI/vpmAld1NfXu93u5OTk7jgfgiB8Pt8QGyFo0AgT - 0GJ2L1BbWwuSNkQ2DqMt6Boh8719TYvF0tTUZLPZtFotNSn0oAIozYkTJ65cuSIQCLKysiIiIgab - nEDjQqHQ7/dbLBafzxcREQE+0sgAOVnAe4HNUyaT+f1+cOWglqciBwN5vFkslkKhkEql1Bb6PwzY - bqEvBmq67x7uQTonKpwDlQd8p8NrJiYmdmnqH3FOsDRoDA1APmlubrZarWT5XxqDimvEa2+QAETw - nXfe+frrr8HLjyAI8th/4IEHnnjiCSjNE/pi7pJA/OUvfzEajZs2bdLpdMGtwTBKSkq4XO6YMWNo - Zwoa1xpoMbsXgMpJfD6fw+HQhBYQVnw5OI0TBFFdXd3e3q7X64egU6ArhYWF7e3tCIJwudyh8XSA - aCgURTEMwzBMKBRGREQMYIlCaEehUCAIAoHfXZZ8AyLqcrlKS0vNZvPkyZO1Wi2CID6fD0XR/lvX - wYoOTYUuc2IY1oPkTIarQW0wu93O4/FGRE6ggUJ3wZnd5XKjQYMGgiAejwccfGjQGBG4ePFiR0fH - nDlzFAqF1+uNjo52Op1xcXGzZ88WCoVAUsljn8rOBRN68D9HECSArDc1NdntdnAdpz5OTZfzxhtv - 4Dj+1ltvxcTEAN9CzR4atmpuGjT6D1rM7gXEYjEUiEIHp6rBSAQproTJbMAwYmNjh8xpHLqwWq3A - fgXUkRpssNlsoHlMJhNk4IGyISMIAs3ClR7syQRB2Gy2pqYmq9UKG4TBYMCEyOXyfmqvTSZTaWkp - n89PTU0Vi8U9S9pkgsDz58/HxsZ2WZUaXs1oNLrdbrVazWQyHQ4Hk8lks9lhsoaHAMGLhJw6BEFE - IhGdHY0GDSoC9kv4kLxRCTilvV6v0WiEfI30bPcBOI77fD4Oh7NmzZqMjAwEQVgsFo7jHA6HPOHB - PZPBYEB5DgDJ6JLtAHvjdrvJi2w2Gx7U6/XFxcWg7kcopS6BpEJIXVlZmVgsJlVUoD2H+5FOPf41 - 4qRJ41oDLWb3Ah6P59rJ4hCK2y04FSMIIpFIwip5qVgsHuK830MfjQzETCAQBEz+gLAjXq8Xx3Eu - l9tbygdaBhzHJRIJEqT27kNTBQUF7733HofD+eMf/zh//vzuzPXAlgHVr6mp+etf/3rHHXfcc889 - AQOAicVxfNOmTQ0NDatWrRo/fjykWujzOLtz6OjZ0WN43UBonpUGjT6gubnZ4XAgCKJWq6+RDCnD - AmC0Ojo6fv/993HjxgkEAlrS7hXA2c3j8ZhMJhRFeTxeQDIOksfweDwgElutVq/XC45jEomEJLXg - JGixWC5dulRUVIQgSHZ2tk6ngwQoDAZDIpHU1NTU1tYSBGEymXg8HoSPqdVq0keM6q+OIIjP58Mw - zGw2A5WH7kC2H9JpokFj8EGL2b1AYmJicXHxNXLWXzUgFuYBqm2Fm+2ruyIWg9QXgiAJCQkikchu - tw9Imz6fLxTlLtqZewxBEIhl6L/wRiZXg5wlvbVFE53op3EYhuFwOE6ePNne3m6327du3ZqcnJye - no50489GZmvz+XwWi8XtdgekSYclgaKo3W4vLCy0WCxOpxPpXL3Um6nebmSkdwBLTf5EPkLeQPrX - wQ4KYBB7eJB6A/XXPk9j6IBexGIxLTnQoBEM2BSVlZVms5nFYiUnJ2s0GnqnDDhAfWyxWHAcF4lE - pIBNT3WvANpkNpstl8uBHnm9XuoNoFlGUXTPnj1WqzU2Nnbv3r0gk3O53CVLlkyZMgUkcxRF29vb - N23a9N1333k8HhRFfT5ffn7+iy++GB8fD2VE/X7/v//976amJofDAV8wLy/vhRdeSEtLA7aESl5x - HDebzceOHTtw4IDP5/N6vTk5ObNnz05JSeHxePSHpjHKQIvZvUBLS8uAF0wKWxiNRqFQGJzvKgB8 - Pj8Mj8Wh/EZgQY2OjhYIBHa7vf+zgeN4a2srlKS6amtU6XFAPgQ0IpVKydjvXj0OIvFAea0fOXKk - qKgoPz/fYDCUlZVVVlamp6eTjWMYBsnPoViI2+0G2Z7ozP2OYRibzaYKveSDCEU3hKIo6cwGXxNY - EBDdQVSGmH/wzIc7oWgZqYrCMAw8GsAdDsbD4XA4HI7f72cymWQUHPRF6lDIX2F4VNc7UHUNpTcd - bUygQaMHkKUKaR/XwQAQHavVWlxc7HQ658+ff911143Q1O7hEFcIinKv11tVVQXWadLdLCoqSqFQ - 4Dj+ySefnDlzhsvlqlQqlUrl8Xjq6+uPHj36zjvvTJ06FUjehg0bCgoK8vPzFy5cKJFIPvroo927 - d0dHRz/zzDOkYHzs2LFx48Y9/PDDfD7/yJEjhw4d8ng8b775Znx8PHVIOI47nc633nqroKBAqVSK - RCK/33/s2LFvvvlmw4YNeXl5A14thQaN4cUAe7oG/EHultGxbWw2GzV8ZXQDondCcV3uTy9UU2F/ - 2umy2QFssAfAyE0mE2iL+98viqJarTYUYkMQhM/nA87ParWCN+OAoLdsDTlUg8FgtVr7/zVBZf79 - 9987nc5bbrmFzWa/8sor5eXls2fPlkqlsGCcTqfVapVIJBaLpby8/Pz583q9PjExsa2tzefz1dTU - GI3GqKgoBEH8fr/JZOro6PD7/UKhUCqVikQih8MBa48gCCjGhqKo2Wzm8XgSiQTkYZPJZDKZ3G43 - i8WSSqVKpRJ4PuAVDAYD2YhMJtNqtTweD34qLy8vLCxMSEiIi4uTy+XwE/A3JpOpvb3d4/HAPEul - UrVaDeoqEOztdrvZbHa73TASiURCZ2elQSMcQDrpXCPa9mEBjuMejwfDMChsgYSHyNpbeL3ecPCC - hjSfzz//vFAoRBAExGa3271mzZo77rhDIBDw+Xyn03n77bevXLlSrVbjOH7u3LnHH3/8s88+S01N - VavV4Ao+b968NWvW6HQ6JpMplUpPnz59+PDhBx54QKVSwTtmZGS8884748aNYzAYt91228cff7xu - 3boTJ05ERERQx8NisX744YetW7fOmDHj+eefh6KtR48e/eMf//jPf/5z48aNMTExwzNTNGgMDgZG - zAapBlIpIBTDGvyX9E4ZcQdlAGJiYkQi0dC8yODRlZ5bJr2OQZgZjAFQAXbIAU/NbTQanU7n0Kw3 - mE+RSAQB0gMiYYaS9Rq+VGtra0NDg8Ph6OjogGwxw1LRnWQ6ISVbP1cvtFZTU3Px4kW9Xp+UlKTX - 6//73/8eOXJk9uzZU6ZMgdsEAgGHw6mvr3/ttdd+++03qh2+trY2NzcXFBA4jpeUlHz55ZeHDh1y - Op0JCQmzZ8+ura0lZVe/379nzx4wT+3duzcnJ+eWW26RSCTFxcVfffXV0aNHrVYrn89PT0+///77 - p0+fDm4L33zzzRdffNHS0sJkMn0+X2Zm5osvvpiTk+NyuQoKCjZv3mwwGCQSidPpTE9PX7NmzcSJ - E5lMZkNDw4cffrhnzx5wrvP7/WlpaU888cTs2bMFAoHH4ykqKtq/f//hw4fb2toiIyPz8vIWL148 - fvz4AcwhT4MGjd4CzrTU1FS5XG42m+nNOHggXYRsNhv4/oys2Yal0tLSolAoQnFJGwJwOBzIQJaX - l5eQkFBdXZ2eng46o+Tk5OPHjy9btiwtLQ14mOjo6FdeeaW0tNThcKjVagRBNmzYgCCI3W6vra01 - Go2XLl3y+/11dXUWi4X0p7vnnnuSk5OhO6lUumrVqn/84x8///xzfn4+1Pgk86d88803brf7nnvu - AaGdIIgbb7zxs88+O3XqVGtra3R0dDjMGA0aA4X+cuQgYMNR6PP5HA6H2+12Op3goikUCjkcjkgk - gnxUVN/IkQiJRAKHwhBosqGXAVeF4jjucrk4HE53GctcLheTyRwC6xmQIrvd7vF4pFLpQPUIn4YM - ux0aEATB4/GGPkAdtCFyuZzL5SqVSpVKNVxB8kwmk8/ns9nshIQE0H/3X8yG8Ok5c+ZER0fz+fy8 - vLzNmzdfvHgxLy8PXMGZTGZHR8frr7/+yy+/LFmyZOHChX6//8CBA999953FYrHZbG63G0GQjo6O - F198sa2tLSkpSSAQmEymL774oqmpacKECcDP2Wy2L774dK2SvQAAIABJREFU4uzZs5DyTaVSuVyu - pqamdevWFRcXp6amrlixoqGhYe/eva+//voLL7wwZ86cAwcOfPDBBywW6+GHHwY25aeffiosLMzI - yDh69Oj7778vFAr//Oc/p6SkFBQU7Ny58/Dhw6mpqSwW69133925c+f48ePnzZunUqn27t37888/ - r1+/nsvlXnfddcXFxX/6058uXryYmJg4duzYtra2bdu2VVRUvPTSSxMmTEBGGrtJg8YoAwSPDPco - rgkwGAyhUEgmzhhBRx8MNTIyMhz8nzEMYzKZ//znP/Py8lAUhXI5Pp+Px+PBDV6vNyYmJiIiAry0 - EARhMBgxMTENDQ1ktW2Px7Nnz54ff/zRZrPZbDaj0djW1gY+50inOS0hIYGaNY3D4QgEAofDQaYT - h43T1tbW3NzsdDoLCgpKSkpASmexWO3t7VartaqqauzYsX3IvUqDRtiiX2I2CNgEQXR0dDQ0NFy4 - cKGoqKi+vr6iosLhcLDZ7DFjxkRGRubk5OTm5up0OmpBrIF6gaHEhQsXQHs3qL2Q8ieCICSZGShg - GGa1WqVSaTABIDor+vB4vCEobgTtQ5XpAaRG0I5Op1MqlUNDm8G7AbJ0IkPoTAjezhKJRC6Xs9ls - iUQiEAj6L9/21ocfXJ0VCkVmZmZhYSFkEO3zAJDO8DyLxbJjxw4ulztlyhSJRILj+LRp0woKCo4d - OzZt2jTQmjMYjMrKyrKyshtuuOHJJ59MTExEEGT69Ok+n++rr74iP8TmzZtLS0tXr1593333SSQS - r9e7efPmjz76iDyICIJwu90Oh+POO++8++67Y2NjpVLpp59+ev78+fvvv3/FihWRkZFer/fGG2/8 - v//7v61btyYkJFy4cIEgiIcffnjp0qVCofD666/ncrkSiQTDMKfT6fV6ly5dumjRIrlcPnbs2MjI - yLi4OCaTuXfv3p9++mnevHlPPvmkTqdjsVgzZ85MSkr64IMPTp06lZeXt23btpKSkmXLlj322GNq - tdrv97///vunTp0yGo0j9MykQWM0wefzXTvVRoYRIH1VVla63e4RyjEOca2T7gCJ0OLj46nO22Bl - gThtgpKvlAzeHjt2bFNTE9Bio9H4wgsvHD9+PCUl5aabbkpLSzty5Mjnn38e3BfZCGnibm9v9/v9 - 4LgOqK6uNpvNfr//yJEjJ0+exHEc7Dper3fMmDFpaWm03xaNUYa+i9kQF+pwOFpaWjZt2vTzzz+b - TCaPxwOZh4DHraurYzKZ27dvj4iIuPnmm5csWZKWlsbn80do+pChLOgFRxKcQQPYLIvF0mg0JN2C - HEvk4YggiEqlQntMMD6wGKQjFaT3AW+2S8AElpSUmM3mITZ0UD/cgABCP0KJyQ8eCexrajHMPo8B - QZDz58/X19dnZGRAAhUMwzIzM7Oysvbt23fixIm4uDhgFE6ePNnR0TFp0qS4uDjYLwKB4Kabbvr1 - 11+hncbGxsOHD48ZM+a6666DMtooit51112HDh3y+XxwD7xvenr64sWLwWjs9XorKioQBElISODx - eB0dHSiK6nS62NjY0tLSmpqa+Ph4HMd/+OEHmUyWmpoqkUhWr17N5/PFYrFWqxUKhTt27GAymZMn - T46Kilq+fDmPxxOJRBcvXrRYLDExMRiGVVVVMZlMHMezsrLUanVpaWlzczO4wZtMpitXrojFYplM - tnLlysWLF8Mk0MwHDRrDBdA/xsbGikSi4R7L6AcUaBgzZgyfz4e0lPTp1zcAyYPoPGpda6A+MLEg - WsP9cBHylQB/uHXr1kOHDt12221PPfUUeJYlJSUVFBS4XC6yCwRB3G438KtkR06nMyIiAsprk58P - fFpVKtW///3viIgIsKvz+XwMw3w+X1JS0igIL6UxBBhBHi59FEVgI1mt1m3btn355ZcVFRU2mw3p - TL9JlXD8fr/FYjGbzQ0NDYcPH3722WchVIPD4VBlOTIkuH+vM7gYmuGhnZV1kEFI/IuiKFVu93g8 - LBaL6kA+xF7HgzSlQFQGo+VgwCsMi3sYmcFroJqy2+0Oh0Mmk/WqrgbQaYfD4ff7pVIp6Y3WN0C/ - e/bsaWxsTE1Nra2theIuUDjEarUWFBSMHz9+7NixKIq2t7e7XC4qE0YQRGRkpEAgANbh5MmTV65c - iYyMZDKZGIYB95aQkJCYmFhVVUXVCHA4HBaL5fV6WSxWTU1NQ0ODxWL54Ycfzp49C2ljamtrf//9 - d1AlzJs3r7CwcPfu3c8++6xQKExPT1+0aNGcOXPEYnFubu6NN9747bffvvXWW2w2Oy0tbe7cufPm - zUtKSoJxbtmy5b///a/L5YK0rpDILTk5GcOwRYsWnTt37tdffz158mRaWlp+fv6kSZOSk5OHJlEC - DRo0egZZMoDG4IGMy4NQMhD8BrW7UXy6QpkMi8VCpu8leYZg4zNBEMDJnDp1CgL6CIIoKSlxu90J - CQlgD4fWqF5voKfes2dPbm6uUqmEFGv19fVWq3X+/PlyuRztrDyKouiECRMyMzNPnjzJ4/EyMjLg - y/p8vqNHjxqNxpiYmDDxAhiVGDVLHTypwyEoIxT0RcyGQ7Cjo+Ojjz769NNP6+vrgQlmMBhMJpPN - ZpNiG1S1IRPznjlzZs2aNbfccstDDz2k1+upARhgRgvzWRvKsQ0BLcdxvKKiIioqSqvVDnZfoxgg - vOXk5Ozbt89gMITzAu4BMGyIF+hVnDwQbJPJVFpaCqm8qarr3gJas9vtFRUVXq/3l19+OXHiBIqi - MMkej8fhcJSUlFy6dCktLY3sBUzooN0Dtox8I51OJxKJIDsjyNjQi0AggFRtZNfUp1wuF6Rwr66u - bm1tTU1NdblcTqczJycnJycnMzNTqVSuXbt26tSpP/30U3l5+blz586cOdPY2Pjwww8rlconn3zy - +uuv379///Hjxy9fvnz27Nnz58+vXbsWumaz2VqtViKRjB07ViQSwZW0tLTo6Gi9Xr9+/fqDBw/u - 3LmzrKyspKQEx/GbbrrpmWeeSU1NHaFLi8aAYERoomnQ6D9IV77i4mLIwjWoy95utwPhG7wuBhs9 - HA4cDgfDsK1btxqNRriNwWD4/f6oqKjs7Gw2m83j8VpaWsxmM/Uph8MhlUqhnuu8efMOHDhw6NCh - vLw8jUbj8/nWrVtnsVggCTyAwWDs2bNn0qRJEydOZLFYhw8ffvfddxMTEydNmsTn871eL1kLk8Ph - PPTQQ8XFxQcOHNBoNBERER6Pp6ys7JlnnlEoFHl5eRKJZHAn6xoGOPAPVwafAQQYJ1QqVZjLjIBe - i9lw/DU3N2/cuPG///1va2srgiBsNpvJZMpkssTExISEhNjYWAzDuFyuxWIpKiqqrq62WCxut9vv - 9zc2Nn722Wc2m2316tVkGAaKoi6Xy2azyWSycA7MAKVd2A6vt0BRNCoqCszmowxOp9Pn8w3ZlyII - gs/nj4LDK5QM510Cx3GIWrx8+bLBYBgzZkzf2gFt68mTJ2tra3Nycu655x6FQkEQREtLi1Ao9Hg8 - BQUFhYWF+/bty87OTklJEYvFTCazpaXFYrFAjnEEQRwOB/n1Y2NjJRIJjI10mTOZTFVVVW63m/rJ - qAURNBqNSCSSSqVPPfXU3Llz+Xw+6AGdTieXy1Wr1Xa7XS6XL1myZMGCBWazua6ubt26dQUFBRMn - Tpw4caLf78/NzZ0wYcLjjz9+9uzZ9evXHzp0aOHChRCOsWzZsvvuu4/P54OeEcOw1tZWmUwmlUqd - TmdKSkp6evqtt97a3Nx8+PDh7777bseOHTk5OfHx8b1yMaAxagBSB1nsfcBDRWjQCCuQzmhXrlzx - eDyDt9SB3BQXF6ekpKjV6sG2bQRkzx1AZtLn87ndbpFIFPwKEolEJBLt2rXr559/JsVsHMd1Ot0n - n3ySkpKCIIhKpZJKpfAs6KOnTZt26tQpyPg7f/78HTt2/Prrr6tWreLz+Xa7nSCIuLg4FEVBN8Hn - 8+VyuVgs/tvf/gZK7Y6ODg6H8+KLL5KBWmB+AyX4vHnzpkyZsmXLluLi4mnTptXX1+/fv9/pdC5f - vhzIPX24DThgVtvb2/l8vkQiGbkuObA+i4qKDh48+OCDD0LqvjBfML0Ts2GXGo3GP//5zzt37jSZ - TOBDJRKJxowZ8+ijj86aNUsikXC5XPioOI6bzeaDBw9+9NFHZWVlDocDnEu3b9+O4/gLL7xAmmjY - bDYkSAvn+YqKioLag6MDIE6E84T3AbBEy8vLm5ub09LShqZTFEXdbnc/Y5JHNJRKpV6vP336dD+T - z6Eo6vf7d+7caTabn3766WXLlpHJWsC3bdy4cc8+++zhw4fnz58fHx8/Y8aMHTt2lJSUXL58GTzQ - fD7fvn37WlpakpOTURTl8Xgymay4uBhSi4OjUVlZWVtbGym1AksXHx+vVqtB8IakZVVVVQwGQ6vV - ggLYZDL95S9/YbPZq1atunjxotVqnTFjRnR0tEgkioyMzMrK+vrrrw0Gw86dOxsaGhYsWJCWliaX - y/Pz848cObJlyxaLxaLVagUCgcFgwHFcIpGAEf706dN/+9vfli1bdtdddx04cKCpqQk8zBMTE8EH - 7+233z579uwNN9wQFxeH0PLVNQZQD8G/aGfGPoj9Gbms0sgFnWZ8UAHTazAYvF4vWHRg8Q+SeQPa - zMjIEAgEQyBjQ0Ue6hVSt9vPxv1+P1SdpLYGfzz++ONpaWng/k29Pz4+XqvVoig6adIkKFMCCc+g - haVLl2ZnZyuVSgRBJBLJ66+//sMPP5SXl7tcrpiYmFtuuQUqbEdFRaEoescdd6SmpqalpR0+fHjn - zp3Nzc2LFy++9957J0yYALIAm82+/vrrlUolGHVYLNbf//73999///Dhw//5z3/8fn90dPS99977 - hz/8QSKRjHQCF55qAhiSRCIZEebfHgCDj4iImDBhglAoHBGGz16L2X6//9NPPz106BBZOlKlUi1e - vPihhx7KyMgItoYJhcI777wzNzf37bff3rVrV1tbG4qiTqdz165d48aNi4qKgpJ6HA6nz5a0IQOk - dB7uUQwkwn+B9g3gAzY05x1QptLSUpPJFKCuvhZAxs5pNBpI9NXn2CqisxL4hQsX9Hp9Wloa6N3B - CAy/ZmVlzZ07d/PmzefPn8/Pz8/Ozp41a9a333773nvv3X333REREefPn9+7d6/JZAK2SaFQ5Obm - Hj16tKCgQKvVJiUlQfmuurq6xMREagC/xWJxOBykvn/GjBmFhYXfffddREREZmamx+PZunXrkSNH - brjhBj6fX1dX99lnn5WVld1+++1CobC1tfXMmTNxcXE6na6wsPDzzz+vqam54447oqOjrVbrmTNn - oqKiYmNj4+Lidu/evW/fvpSUlOnTpyMIUlVVtWnTpsuXLwsEAjabvW/fvv3796MoevPNN3M4HBzH - 6+rqvF6vTCYL/+ORxoADxAyj0VhTU1NTU8NisXQ6nUajUSqVIzeT6AgFqZKjM40PEoC9RFH02LFj - NpsNiKnf7/f5fJDxp2/U/KrRFjKZrO+DDnkMPp/ParW2t7cTneWjFQqFXC6Hg72fjAqfz9dqtQFT - BH+PGzcuOOAIJHw4PebPn49hGKl0hou5ubnZ2dnQIEEQOp3u0UcfBVmdw+EE+JyCUhhF0ZSUlGXL - lvl8Pqh7Qr3nL3/5C5PJJP3MdTrdn//851WrVlVVVSEIkpycrNFoRg13HZ6SNoIgo8BMCBObkJAA - tVrCc54D0AsxG06Hy5cv79q1q6WlBUEQMPU8++yzd999N8TPdCljsFistLS0devWcbncb775pq2t - jclkms3mr776Kjc3d8aMGSNippDOgl5kVkYgA4M9eDokLxSQH4LorJtNpsEMUe7t5wyDIERmGekn - AtZVKMtsAMX77rrr4Tr5NzVnad/gcrnUavV1112n1+upuRvgeAER9Ny5c5AVTCAQPPfccwRB7Ny5 - 89ixY2w2G6qAxsfHKxQKCDJfsWIFgiBfffXVq6++GhsbW15e3t7eDulPoQYbg8GA+DHS1RxF0Vtu - uaWmpqagoGDdunWpqak1NTV1dXWZmZnLli2LjY1NTk5WqVTbtm3bt28fjuNWq1UkEj3zzDMTJkxQ - q9Vnzpw5cODAgQMHoAQ3giCPPvpoVlaWTCZbu3bt+vXrN2zY8Oabb4LtXSgUPvfcczfccAODwZg5 - c+aZM2c+/PDDkydPKhQKl8t14sSJmJiYuXPnRkZG0jLVNQVI9ltTU7Np06Z9+/aZzWaofJOUlPSH - P/zhhhtuiI6ODucYq1GJ6upqq9WK0GbtQQAYe2tra48cOeJ2uxEEsVqtBw8e5HA4cXFxkFmjD6sd - mh1Gjhxk7NbW1k8++eTbb78FtxSPx5Ofn79ixYrMzEyhUNhPx1fSf7vL3jkcTsBypfLqXSpwyVBq - 8maqJYw0JwRwKSwWS6VSUe8hbwiIuIb0KAKBIDo6usv7RyKIzpq4GIYBfzKiXyecQebZGRHohZgN - mvVDhw41NTUhCAK+4rfddtutt94KMnZ3Swqcf1Qq1RNPPFFWVnb8+HGQRi5fvlxaWpqTkzNSKmRA - hQObzQYbKUC0uyrdJeenyzu7/BXseKGILnBMd7fyyKjU4BtI3Ty1I7iTfCpgYME2W+o8dHdlUFWV - kAGLdNsGd1z4b4i7MZQzkaQE1DvB3CqRSDgcDphDSQSrkJHu5XnSNY6ai4t8sMu3oE4vQUkWetWX - DfEdA94i+N3JleD3+41GI2i7YSR9JjBxcXFvv/22UCjk8/kBA4M209PT33//fS6XKxKJcBxXKpV/ - +tOfFi1atGPHDpvNlpGRMWvWLKPRqFKpoqKiEATRaDRPPfWURCLZuXNnZWVlRkbGggULgFdOTk4G - /+3rr79eoVCAVzb0JZFInnvuublz5+7Zs+f06dMEQTz00EO333471Na67rrrMjIyfvjhh71799rt - 9vz8/IULF+bm5gqFQr1e//bbb584cWLnzp2XLl2aOHHiXXfdlZWVBQnYJ0+e/Oabb+7evfvAgQMo - is6ePfuGG24YM2YMj8cjCGLx4sUcDufrr7+uqKgwmUw4js+cOXPFihU5OTndKTFphDm61JcF0I5g - EASBYdixY8dee+21U6dOOZ1O8qfm5uaioqLjx48/+eST48eP71XCwp6HN6ggNWW9eqoPw7sqs97l - yRxwygX8Sv5dX18PhtYQ+6IRIiCcsKysbO3atWVlZVC5xuFwrF+//vvvv//Tn/40Y8YMcIoOvU2i - Mx6VxWIpFIpQRNkB/6BAEKurq9evX//zzz+TecgQBGlpafnll19eeumlJUuWQFh1cNc9r8ZQhtrl - pgvgHIJvDniKypYEb5ZgzpDUVgf0Ql7pclR92+zBzw6NZaXLwaAoeuXKFZ/Px+fz++mARh8sPSMc - zl5Y8FcVMUIVs6GtqqqqHTt2QNozkgWMjY1FelwTpKyo1+tvvvnm6urq+vp6JpNps9mKiora2trA - k2HYp+yqgHOwsbHxt99+i4qKUigUkAAZZI8eMmDBIQWR536/v8vzBa4HlCzGMMzhcPB4vB52LBxY - PB4PdKJdNu5yuVAU7ZIhIyiZ8TEM83q9cCeDwXA4HJC4AjzlIEuh1+uFuClqI+DgSoYegW6VFHQB - arWa2inSlSjeZ8Byh5rtcMXv98N4QiRFofTSw4DLysqgbjaZaqvL+6nia+jDCCBsAY+Axqe9vd1s - NgdEf/Xhpaj0j/oTlb4Gj8FisdTV1TkcDqfT6XK5yIC6q4oTXY5HpVL5/X4wNXd5g1wuRzqVRwRB - 8Pn8qVOnjhs3DsdxcKaFjQmJ2QiC4HA4999//+LFi/1+v1AolEgkoFFCURQs2MuXL2cymSwWizRo - EwQhEAimTJkyduxYMCQqFAo+nw9OjEwmMzY29qGHHlq6dCmGYSKRSCAQEJ3Rd2q1+qabbpo1a5bD - 4SC7I19Hr9fHxcXde++9KIqKRCIej0euVSaTeeONN86YMcNkMrW1tTEYjPj4eIh1D3aU6G5KQxTk - QsdQnsx9YJtI1U8YqiEIgqCqF3vYSuSChItMJrO+vv7f//73qVOn4PQmG8EwzGw2f//99wwG48UX - X0xKSkL68Y0CKM7gAbYkQtH5IiF8Mmpx0BDRHftFFQm6E7O7+xVkDCgKSHQ6/cInC5HbGy41WfBp - ED6bhRwJDOaLL77YtGlTTU0N9awzmUzHjh179tln33zzzfz8/AD3javqbgiCUCgUPZNdKkiOjjrC - 4DZDf0eCIKxW6/r163ft2mWxWKh6apfLVV1dvWHDhujo6BkzZgRnJgpejURnKkQkaOf2YYEFC9vA - TiCUwq7gxg8rH+ksD0ZtBO7sMjFN8ObtcoT9WZPkhJB9wRVywN213DPH3gcQnemodDodgiCQPY5k - UfrQYPDsURcPjXCYCjL1YM+fphdiNoqiO3bsuHDhgtvtBu5h0qRJkG/wqi+MdtpR77nnnsOHD7e2 - tno8HgRBfvnll9tvvz0hISHk9/ofwHE8ZO5AED9JEMQHH3ywfft2vV5vs9kgpoXH4/H5/GCtBtoJ - r9crl8u5XK7JZKIms0E6mSqLxeL3+yFzMjzLYDBsNtulS5eio6OpNbcCXhZEhaSkpPHjx/P5fLJx - AI7jXC63rKwMWHao7kD2zmKxbDab1WqF5E9tbW2tra1MJjMpKUkgEPz+++8KhUKtVlutVp/P197e - LhKJDAZDY2MjtRcURTUaDZRcRjqdlFQqlclkgprGcNuDDz7o9Xp5PJ7dbocPx+VyBQIB/DfEL9gd - T4PjOI/HKysru3LlCkEQHo+npKQEjtqrtoxhmFKp1Gg0V9U+AoMrFAqDS0ObTCYQwFpaWmpra+EG - KEtOflDQKIE4F3DEg6SKoqhAIICyVRwOx+/3CwQCDodTX18/ZswY2MzwLajPgqXL4XA0NzeDp0mv - 4PF4YEjwX3gFSHzt9/vhfZ1OJ4fDMZvNIpGIGsnW0dHBZDJBuIU9XlJSUlRUFBkZyePxQN6Gullu - t3ugYhoJgmhrawPJlhw8/AHqKiivzWKxoGoXgiAqlcrtdoN6CGKwyaeo/AHRmZOGZKbJ65APvIc5 - NBqNXf7k9XpNJlN3D9rtdgaDIRaLQcsGhwmbzdZoNBqNBu5xOp2wx+HUdTqdEFbn9XrhKEb+V36D - V4Nt6PP5utNWhA5IhAOl2vqWpRLUBNRYYngj0CGSwfwul8vr9ZJTQX4OUENAHRpSfQOPgFOVUCgE - zU4/33RgAUXgQA0NBxccR2iQRYhUz5Hz43a7f/jhh9LSUrfbjXaakgDQgsvlOnDgwMyZM7VaLVlQ - sw+D5HK53bGbPQiQXYqj3QFuBuUUiqIejweoUpfKowBQiwaF2BfRmV6huzEHvBc80sPskUcEVXGM - YZjH44FiAfCB0E4LXnD7yEAwhSHK8wF3km8HSwgU8V2+70CNM8SBIZQIIyaTeeXKlc8//7y2thb2 - CHmswWqvqKhYu3bt1q1bU1NTqQ3Ce/W88oEE9JyglBwYHFPkILt8KnTxDFbFtm3bDhw44HA40CAT - MYvFqqioePPNN+Pj43U6XZcfJWAtQRQSQRCwc6mqigFxo3W5XEBBYG6Bh0EQBHgAJpMJpS7J8YB+ - GZz8A9Dbzdsdelj5UDMYOHByKjweD4fDgXMGHOaDk88NeHVucpBAIsH1FQ72vsUSBswetD9SopGv - EZA8TM++uqGK2fBp6+vrnU4nbD+1Wp2enk6WAQilBfDwzMnJOXPmDKTbbW9vNxgMPp+vtx7FsOYs - FguGYZCZbAgW3/jx48+fP2+1Wm02m9lshoLhJHHt8vAlfyXL9lJNnVTmKUCJiHSauH0+X3V1NTVO - pssuWCxWD96DsM+7nGQ4C8haDjAM8qSAfLbkeQGcLrRG5SeArac60rNYLGCXySEdP34czObAScM9 - XC7X4/GEboPt4cAFxh2czdra2jZu3Bj6ohIKhVqtFk7kLjXEMEVut9tkMslkMqjwRA4JQZDq6mpI - Nv7JJ5/s27cP1DHUHYjjeFtbG4ij4J4QIBq1t7dDSI/T6XQ4HCwWC8dxgUDA5XKvXLkyadKk7Oxs - BoNx6tSpK1euUAl2TU2Nw+FwuVzvvPPOV1991aVHA/WNAq6ASA8DZjKZdrvdYDBAdhaXy9XR0SGX - y0GBYrfbhUIheN/BhLS1tYFVRywWNzY2ulyupqamt956q6ioSKfTXbp0yel0yuVyWMNwdHSpV6Zy - eOQNXX5okFVA4yMUCu12O5fLZbFY8AdIlTwez2azoSjK5/MFAgGTybz99turqqrOnz8PcqlcLpdI - JFKptK6uzmq1wqigZXg7q9UKWvwArog6vO7mlpSjAp4luX/SbgknAI/Hmzx5ssVi8fl8cXFxwXF0 - CIJwuVyDwYBhmEwmq6ioUKlUPB6vrq6usbERCt6Quxh84CUSCYZharXabDZfuXIl+F16hcWLF4Me - 0O12y+XygHrjVwVsTKPRSN04LBbLaDQWFxdDLhMEQQiCqKysNBgMHR0dXq9Xr9f7/X5wvbNYLFar - lc1mQ84FUlRAEITD4eTm5mZmZjocjmBGdhjBYrEuXbp04cKFd999F0VRk8nE5XKbm5tBS2W32yG1 - r9frtdlsbrfb6XTCBkFRlMvlWq3W7du3Nzc3B78OvDuKoiCZNDY2gkJdKBT29t0ZDEZmZibEVgQA - yCuLxQL1cUDLHR0dfD4/IKyjO5jNZjabXVFRAcriyspKh8Oh1+u9Xm9zczNVrArGggULQn8dFEU7 - OjpMJhMUKKKeJH6/32azwfHrcrmoLp2wsCUSCZ/Pd7vdHo8nwOGzpqbG7XZLpVKfz1dZWQmlSUtK - Snbt2hUXF6dWqzkcDo/Hgz1IWtLI9zKZTOC00s+8FQaDQalUhiLjtba2KhQKcEMTCoVwPMpkMvJ4 - BC+5gKcgzVj/tXI9fM3W1laVSgXCIUEQQqGQlHbY8WORAAAgAElEQVQOHTrU3NwMPAP1cfLvsrKy - X375RSaTgWIXOBP4pqDPDX0YXQ4MZuz8+fMJCQmkf6VCoaAOA2iE1WoNGCTZHUikAfzM3r17SQsE - 9TqIfwRBHD16dN++fWDQpt7gdrtdLheVxzaZTGfPngWvw6ysrOjoaCA6DAZDIBA4nc4+qxq9Xq/T - 6ZRIJMePH+fxeJmZmVBP++LFi1KpNC0t7bffftNqtVqttrq62mg0Qr8Yhk2fPt1sNldUVAQoQJFe - bt4eYDAYukw/jKJoXV1dWVkZl8udNGkSfLWOjo6LFy8mJSWVl5fb7XatVgvWApJ8g4Y6MTExJSVl - QBKLAv01Go0kcURR9PLlyxwOx263O53OHhT0PYCcPXLtaTQaWP/dPXJVlRONgYVAIODxeFeNRgn1 - JILbVq9evW3bNkgDlpSUtGnTpjlz5iAha0BhH27ZsmX9+vU1NTUYhnE4nDfeeOOhhx4CzU1vRWWw - YAyZgqeoqGjDhg0XL140m81Q4wfq6GIYBmYWEFQQihJUKBSCL7fZbPb5fKCA5PF4JJEA2QyOJ2qY - NJfL9fv9QClBiQj6QpFIFMzmQko5p9PZByoOzi1gBofYWjQoIJxU2JOEhCAIkUhEKgUtFgusNpfL - BZYleC+BQEByaVeuXOn71HcCmJguf4IR2mw24LbBdyCUNoEJIytywZclOqvmwEXS0ATrjZS9SYIK - CbSQTt0BTCloJaBNn89HKoPZbDac9ZBoBEZOuuvDYiDfFP6wWq2grwXHeLJl6Jqqtuh5L8CKQjrl - PRRFQaXi8XjgCqnu4fF4ZF+kFonUpyCdKiG32w0Pulwu8EoAAyM4YCOdZiWqL30A4F3IvUO9DhwV - 6RUGHwU+K4goTCaTy+WCwpjNZsP0wnthGAZXEAQB3RBp8IQhwbIMGBVpHgngV0h901XLtoEOBdYD - qNXACAwrB7TsoG4HGk/KyQjFD5BqzIF5AGs2LABgOKj2W1gDLBYLXpnU3YQy4KsC1iRVHxf6eUt0 - utKRekaSaQBTCZvNhiMF7QxaITcCzBvp/I907jg2mw32bfAK4fF44HhPzU3Q3QiDrUO9ep1evbXP - 54NC6OT+crlcpHUFTgmItYF1SH5NmAq73U56K3QHLpcLmguS0nepxiL1PuTf5L9isbhLaRlWGtKN - cpbqAhOgcESCLMnwQe12O3goOBwODMOEQiEsgIA8DgHfgvTpCAUwzyBJgt6Q/AmWEDn5cOiRGwqc - bmBdwblBVeWA9AJhUFarFaQsqVQKjhhcLpfD4eh0Ongvp9MJ4jqZg9PlckFeqGDJtldwu92hZLwj - CALuhPWm1+sVCkVZWdmUKVOMRmNra2tiYqLVar1w4QK5YFBK3Fl7e3swhSWCtNt9Y+ipr4BhWHp6 - OtRVxjBs//79tbW1XYbUIZ1CrE6nmzdvnsPh8Hg8QGLOnTuXmJhYU1NDTV5AHTZCWVHkWwQfAnAQ - gYKVjNFDUXTu3LnUpoAQnz17tktlBJh8UBQ1m83UfltaWiwWS88bGWruULcScAjg94F22mk8Hg9k - FcFxPC4uDtgGDMP4fH5ycnJVVVUPWpLgj0j9CZhY8FlDEAQKAIFjHZvNFgqFFouFzWZzOByS0MOD - oL5xuVzBL9irzdsDulv5wEKDR5hcLgf64vF47Ha7QCCA/RhszYZ9DeWju1wPfQB8CNLJEUVRUPSD - ui04yjIYwac3GWUJZILNZt9zzz0rV64ES0CXjXi9Xrr8RBiid2L2k08+uW3bNqvV2jcxGxq5ePHi - ihUrCgsLEQRhs9lvvPHGgw8+CFnQhkZa7jMIgjCbzW63u6ysTCAQiESiqqoqSP/mcDgsFovH44Go - UbvdDqbd5OTkmJgYJpN58eJFr9erUqk4HI5YLAbOFUw3RqMR3F+BVECoZ2RkpMViAT9hqVQKJh2C - INRqNYgZAcSjubm5uroaWPYQvymc3QqFwuv16nQ6HMdra2vdbjebzZbJZDabjRQA+Hy+UCi0Wq1e - rxfKwXs8Hp1Oh6IolG0oLy/XarXgUg5WGrvdbrPZVCqVUqmEbX/o0KH+TD6TyfR4PG1tbQqFAti1 - gBvYbLbJZHr//fdLS0slEsnSpUunT58OAnMPzRIEweFwGhoazpw5A/I5vD5BEGw2OyIioqWlBUXR - 9vZ2sVgMaVp0Op3H4/H5fEDzgDmuqKhoaGhwu93x8fF6vZ7FYmVkZMhksqamJvBerqqqGjt2rFwu - b2hoSEhIkEgkJSUlarU6IiKCzWabzeaLFy8CsRQIBC6Xq729XaVS4Tje0dGhVCo//vhjMsYMZgOc - zDEMu3DhgsFgQBAkISEhJSWly8lBOnn3/2fvzWMcScvD/6py+bbLt93u+5junum5Z3rvhSXswq4I - sAQIgWFnYRFSJFBIQBHhH0hABCElERKEkEREJGijIM7dJWQ3BJa9Z6+Z2blnema6e/p0H76vcrmq - 3t8fz6/fb2F3u8t22eXqfj9/jHrsctVTVe/1PO9zRCIR2I1xu91gJBoYGGBZ9sqVK3a7PZfLwc6A - 1+s9duzYzZs3V1ZW3G63zWZzu91WqzUajZZKpeXlZbPZ7PP5ZFk+d+6cw+FIJpOvvfba8vKy1WoN - h8OhUIhhGLPZzHEcvAJoA5sKBvcCmxv4fcHzDwaDqVQKXLsDgQBsYuzbtw+sTtPT04FAAHYyp6en - BwcHw+Hw9evXc7kcNAb4hKKoH/3oR/RG3Ar0l0QiATELm/YXbAHBz81ut3u93mQyCfpSjSe8f//+ - gwcPXrlyZXZ21m63p1IpQRCi0Wgikejr69u3b9+FCxdu3LgxPDw8ODjIMMxbb7115syZXC7n9/sh - sh124MHLA7p8NBpNJpOQeRFvUzscDty5lpaW0um0x+OBsijKjYXmB9Vz5841eQZsnQHlGUYVn89X - KBQg1zpFUVCQBlJM4aVbT08PbBGsr69DcwWlJZVKJZNJn89nMpkSiQQe9+gNh39sa6iQBGya2I6G - LWt17X2pBNoPXnPj1wGywR9g/YHWDpYpHLBQKpWKxWKN7SnoYhDKBA8ZBwzDQ8a3CREo5XLZZrOB - nxH+FkaDTZ9DjWeCF4UMw8BcBmtceLPg/I+tKhXnxAY7rEiASoxLMCqXieCdpBI4OTyETb9VKlpg - kIJ7h1+Bqilv1GoGHx+w74BZh6Zp8DtACPl8PpfLBXEBkMpEeQnl/UJ8HLaoqm9mYF/DmqdS8q1C - JMAKKW0UWgenAxgtOY6DVTicEyZ6aIEURYGhCvxvq8PflNZtuIVisYjddCsEAA+dTX1lK54MRILA - hzit7FZPA14uqH81miVSbHU4HA4w5SCEWJZlWZbneTBGg2s0XBFMEsrRntoICsA3KG8kKMXGl62u - jocgGPTA2FF7C4RhGLvdjh3fsDAURYFCC349YPLGLQ2ct2F7QI07p81mg+EFi4otaGCqBk2+trMS - rP1g1QQnAXPnpgfX1XlrULvXwLcMw4DlThAEpU6udJWFIyFkjKZpaAPweOmt8wdTisdVIzRJOcJj - X071N1j9Exxrhj/s7+//6U9/CvlQK1432thC7+rqqiilRtCd+vKLKN9ujeGmNjCowd/lchm0U6gz - 3sDZ2gm9kXupq6sLpD1w4AB+JvBA8FhMKSKLKIqKRqPVJ+zq6qIU5mT4UPkr9XR1dR09erTeO8LL - Dvj3+PHj+E6r5amxage3T5qmx8bGIAK5OiznxIkT9YpXLS3O1rbpjZRKpVOnTs3Ozh44cODkyZN3 - 3HHHtgl+0Ma+U7FYxGmo8EymXGbBqlTeiCqUN4Ax+vHHH//2t79969ate++99/Of/3xvby8ojXg3 - u1QqgRcDjPssy/7RH/0RbKHAxAzbwjBDoI1YVpgDWJZ9xzvewXEc3hlmGAaUydnZ2b/8y7+EInmf - +MQnPvnJT9YujQhrWbSx1Ulv7J/jTWkY62H5DktweIYgDKhwePNcluVCoQA64V/91V898cQTIyMj - X/rSlyYnJ2GdAbdc25ONYRjY56k4GKZ8WLLAVjCEd8INIoQgbAzMCrAdYTab8f4YKHWwAnjPe95D - KZLqUxRVKBTg+E1FunTpUjAYVCZEgFWyMjhzK8CPCELBYdEJksC/ELLO8zzeM8lkMnNzc6Iogm0r - n89zHAfBFKCxgK0qn89DfDu4hcPiHsxwFEWlUikw4Xs8HuywoBVXr15t5udow7MDNvrwYOJyuWBn - Bu4CLDKw8xMIBGiazuVy4XAYKsGAjQ/er8vlKhQKuVwOesT6+jo8amjVyWQSEmFsul5cWVlxuVzg - iWMymeLxOOxBbWuPa+CuoT3/8Ic/pBQ6kmkjl57dbvf5fLOzs+BS2NXV1d/ff+vWrZWVFZDktdde - u3z5Mi4grDw5PEOz2bxv37677767p6cHIdTX15fNZsHXLJlMOp1Or9cL6tChQ4du3ry5sLBw9OjR - aDQai8UcDgdk5jt9+vTCwgLk24PnqVSEqC1UGvhKlmWPxzM4OGi32/1+P/ht5fP5V155BXxNoW8q - zwO3D/fr8XiuXbuWyWSggn1fX58gCHa73e124wv99Kc/Vf/MaZoOhULQNraSmdpQ8AYHB0Oh0Nzc - XCqV8vv9mUymVCrddtttmUwGsor09/ePjo5CXd9YLLa6usowzOnTp8+fP59Kpe6///5PfepTzz77 - 7Pnz57u7u2FhUNEA4Fo3b95ECHEcB46vKpsZtJ9QKASBA/gxiqIIL3pTHYZl2UOHDsXj8VwuF4/H - f/WrX8Fgkk6n77777hs3bvT39weDwdXV1dnZWXhla2trCKG9e/cuLS0tLCxYLJbh4WFIu4hPu7i4 - CFYwakMRPXfu3NjYGPQjpQAw0x0+fLhQKMzOzla/Anw8wzAzMzNgF+B5/tSpU/F4vMZuNkVRPT09 - Dz74YI2IX3izkK1gbW3tvvvuy2QyFy9eFAShu7u7t7f3zTff7O7uPnr06O9+9zuv1wuD6sGDBx0O - B4z2LMsmEglZllOp1NmzZ2EgZVl2fn7e4/HgqWerq8OsB2EvoVAIVgvPP//8xYsXtzKZgVJ9xx13 - HD9+fGhoiKZpt9vtcrlgxpmdnTWbzUtLS29/+9vBdxpun2GYhYWF6enps2fP5vP5wcHBbZvW5OTk - zZs3QXkDUaPRKExqx44dy2az4IoYCoW2Og+0yampqVwuNzExAW5oS0tL3d3dm/oY1tV5a7Ctmi2K - os/ne+c735nP569duwYVv0Hgubm5np4e3HRlWf71r38NESKnTp2Cfa/l5WWWZX0+X403K0lST08P - 7B7VFtJkMkWj0ZWVFfWaNvwkFospLU3PPPMMRVHgQQOTGqS5BdebTc+TTqf9fr9WIfEErag7jWcF - qB53C7QRUQZGU+jhi4uL2WwWx8B0Mso+gzYiLZVroIp1SY1lSo0zK3XaVqM0mqiXp9pgr/xcmfBc - 2xuBnZOtpmFweerv74c94UgkosZ5BoRX1oSsoDrbWQWg1HV1dUGJqTvvvHN8fByPdNhxHXtm4ukQ - codQG4tv5RSuNFrDLQ9VpQmEhV04HN67d+/FixfNZvPw8HA0Gm2scFq1gz1CSLnexR+aTCa4BLxu - SNAVCASGh4cdDseePXsmJiaw2UXl1asXqdUUi8Vbt27hG6QV3uMQmQY9UXkjuKlUnx/Sem3Fbbfd - BhYQlfJXAIrlpr64CCEIJFFKUlsYfFjD3zbJPffc07qTV6CsR6CkRgup7ho1qLAV1jAdasXY2Jjy - v/TGbjaY85QRHxBrgL3fT58+/ZWvfOXMmTM4LRw+AyyzBgcHv/SlL73nPe+BhgqmHGWQC97NNpvN - 9913Hxh6sEEB7vr222+HrP74tCrBdg2w0ymf4T333MPzPPYHqb59uF8wz0mSpPTPqjjVkSNH6hKp - xm52BWCDA59b/CscQQ177CaT6c477wTbKOxtPv3001//+tdzudzRo0fvueeeu+66C+yneCu+enIE - GyLsptblz4mjRZQ2brTdbjZUQwBj6Oc+9zm4riAIfr8fVy0RBKFYLMIQB5uTTqezVCrBwszpdFYH - CSsdYhFCmUwGor6rDUBoIwYNG782vTuapsGvHp7J1772tccffxy0iOpzQlt65JFHPvvZz4L9Gi+u - Kp42UgRIwwgM+73gm/DBD34QrKvg6YZdGKrfiyzLH/zgB/FpcZREtc2r+r5wukrQiMD+jvfVldJC - XxgcHPza17525MgRcItgFKGeEHkE8Ybo95OcIYQgoBpSpW671rLb7bgUC35Q9EZNbNx0a5wBOHbs - GFJEqeB9/uoj6+q8TQLhYzRNP/DAA8q9/erohuPHj8NjP3HiBNx4jegYAPc7NUFYtEa72Z/73Ocg - 6OyJJ5741re+VTu7Lb2x7UdypHUg9anZyr3BBqL+oBu/9dZbyWQSGpDNZpuYmAgGg1t11I6iQp+k - qkZ5NT+pYFN1VDlhqxevMYVWeaGK6arGmatlw//FGuamK9pmqH0GZqNyOLSluhY0zciGc97gFS0O - e1Z/0WozRzweB1ftGu7WyiuCpWArf+bG2Oqiyr+xnyrc7+zs7Pr6uprbrxeGYUKhUEXrqv137Q9r - APGuzTzJGr+tsVdQ8dDqHQGMhY53V9FgWipJtXeJcsyH4F78ofK/b3/720+cOJFMJufm5sCvBJaM - sBz0er0f+9jH7rvvPhxvBQvBivpheCSHuEplq4Y/YNxoZgME2wrxf6Huxra/wkaximlU+XZq++Zs - elqVrxI/cOWvkCJDBNpwooHDYO2eyWQgSQrMMsqyC1vR5LOttv9u+qHyW2gDVqu1wgKFzaYOh6Na - 8mqjKqb6LdR+L9VNcVOUg/kXvvCFU6dOXbhwAfzzlX0EXsHAwMBHPvIR2HNWM7mDXlpxFZwmEMyy - +KVXDwI0TStfnMpsf5vymc985uzZs8899xy9kdQWZMBVMP76r//66NGjoO0rexPWhLG9rGLusFgs - 6jNmQ8es+ET5BKjf73pbUSFkxdJRSb2dt0ngLipGueqHBpM7bGDAJyp7aF1jS73J1ap/gjvvZz/7 - 2f/5n/+BUia1X5AmGd0ImlNfQa+xsTG32w3BZslkcnFxEUy5Kk8CLX51dRWilWAsDgQC6s/QIWDV - FBvg1fyk9ufVB9S78mt4pbipDDXOplLUrfTw1oGHV4hBojdo6UUrIgmvXLkSj8chRqAZrQlKuzHb - 5jDcmEUghQn+sK5baAa/3w+rfxADkvS24slbrVYcrNEGlHa0dl6x9ic7iRbdXYV1r/pb5VfKYbxF - 1LCqVH+r/C9N05/4xCcYhvn3f//369evgzc4wzAulysQCJw8efKxxx4Lh8PK9Xf1tSqWwjVMvU2i - nETUm6iUqrVWstX1kwrdvkJnwCZO/MnU1FQymcT/hS3l9ref2ve4qcGihpDKh6/ViFfXW5Blube3 - 9xOf+MS3vvWt5eVl7FwAcUkURXm93vvvvz8SiSCE1JuSq5uiUnuvEBLP45s+vWbgOO473/nO3/7t - 3/7yl78Ej3QINGNZNhAIfPSjH333u98Na+CKKypbY/W+i3rFuOIn1Z/Qv+8RoOYkahqMVg9QJfh1 - V4/w1VI1Jpv6X2k1cCGEsI1PzRl29prBoNSnZg8ODmIDVSqVWl5eLhQKyvir2mdgGIbn+QsXLqRS - KRz0smlQR+dTezFnLFS+Pqqz7xdMxZBT5+rVq7FYbHR0tM0y4PQ51V8hhCD/ikpXZPV5LGBqgbBM - 8C6rT+jmoDc837LZbLlczuVyyswxml+rFaetDZm6jMW2Zin1B2tCM5ew2+2PPvrovffe+93vfvfn - P/95LBYLhUIPP/zwI488cvToUeWOXDOSaP4QNDyhLl2vRiOp8OBTb2fXBZWCqTSvtw7QnB977DGG - YX7xi19MTU1B5kir1Wqz2TiOO3ny5KOPPur3++tdLjbQ5jV/AgihcDj81a9+9ciRI7/61a9efvnl - 1dVVlmUPHjz4hS984d3vfjekvN72ui0duxoeRtr5JLdFjam6Y3trDVQmWzHire0G6nYaV9r8GjDY - QIAKZJiUJGnPnj0DAwNGTECfzWZhDtBbkGYB2+q2YWOgQLanPnkDwHIzm81eunQJkjBvmgWn1UCQ - 8KauO6IoxuNxj8ejMnim3ueMEJKbq8vaMPDw4b6g2kr7ZWgFCKFUKgU5YPWWhbBLsVqtExMTDz74 - 4EsvvRSLxfbt23fy5Mk777wTJ/HWW8DdhdvthkmQPHkNgYdps9kee+yxD33oQ6+++up///d/z87O - 7t27d3Jy8tixY8PDw202H2sI7LJ6vd4TJ068//3v/4u/+Itf/vKXHMf9wz/8wx133IGj+vUWk0Ag - tAS1ajaMAn6/H1L/UxuZHpRhmSrB0bM0TTudToOOnrAzqbcUjQAViXDqxWKxmEqlfD4fJAGuPh7e - L9T99nq9akp36oXX6+3u7oYKNzicqZ0CQExELperzuTEsmwkEtk287kRAV9Wu90OuXaUNSoNjSzL - MzMz0Wi0nc7qBIISWVHcntoIscZFy/SWbhcBo9yRI0dCodD6+rre4uw06I1cXMFg8L3vfe/b3/72 - UqnkcDiwV5ehdVF6o+iU3++HCs8WiyUUCkFONePeF4FAAGp05DrUbJqm9+/f39PTc/HiRag2efbs - 2aWlJSjnq/I8sNmFNlD5qw4kGAwabhMe2kEymYTS0LBKg2DaGuoffA4ZU7YNFVYjA5wTKklCzZtm - ToiFhGVQJBKx2WwHDx6EIjdtnsAcDse+ffuglDp8ogx5qjdztbEmYBA1EolwHGcsybeCYZiJiYmO - 9eAg7BKqAzKbH4cJ9QIPHJfIJmiOMmQayvjBf2vksjYQWH7YphIEgef5nTFREgi7HNhv3kqXqW8/ - 1mw2K4sfpNNpKMmgUg6GYc6ePRuLxXAiYuMuF4y4kwCPOhQKQS1oANeOqo1W94sQgioXi4uL5XJ5 - YGBAfRCyGuBUkJW3/U2LZVm/3w8+xtgGX6POYW1wpR8D9RFD284qoGkaZ6Yx0Csg7Dx2gJqxM7h2 - 7RpUHt5JA13nUG2eptTlFTcEyhuBWlxkZiEQDA104WKxKAgCx3GbqrRqxy/YLWRZdmJiwuPxqNTN - qikWi6DjwTAaDoddLhcZaNoGGDvW19ehmGc7gTeey+WSyaQgCAMDAyMjI1t5qjcAnKdUKsmy7HK5 - cD2PdgJ5zkA9rrjfBjKCptPpRCJR72+1pd5Lr6yspNPpHdaj8ahFILQZ7CtOvCr0BR7+8vJyPp8n - OnZLoX8fvcXRGLgjqKlm3H0mAoGAkSSpRn3r+moLI4SCwSCOpm4g+3SF58zevXuhaLb6MxCaAV5i - JBKBaqvtBDvd2e12iE2yWq1aGaqxVZjneUmScPy/JidXTzabPX369PLyMs4Mie+3gW7i8/n8fj/U - x1L/K21R1vlUg15p2FpKOp2GYrkEQpuBcezAgQM9PT3qhwJCi3A6nbhgod6yEAwMwzA7MlELgbCr - gC7sdruhDsKmPbpBJQfSGqdSqSY3RSFVVTNnINRFPB7ned5sNuvliGW1Wl0uV71RytsCYV2iKIqi - iBC6du3ayspK++cwhBDkbMeXbuZ+TSaT+i0si8Wybbr4ugBtOZVKJZNJ9Zo2yLDDNO1wOIwLGRII - bQYhJAgC+OnoLcvuBR7+0aNHq9NbEgjqqU610Aw7bKolEIwITdM11t51h4ziiFOKohYWFhYWFkRR - VK9FKDfAbTYb3s8kVr320H4FuyL6qEUvGtpVMpm8fv16Op1eX1/XpaAXTuKi/KQNF4UoYqgWpuFp - KYry+/2U4r5q3A6IcfDgwb6+vh0WckZMgQQdoWna4/G4XC6D1rbYSWiVs5PQabRtztL2KoIg6Lhr - QiAQtqW+zknTtHLHTBCEuhxKqQ2fUhhoenp69u3bR7aJ2onX621nEWBZlqHqG9UWs6vFYgGnPr1M - vCaTqf07nxAIAEHgmocQm0wmKI2m5pGCk4suyecIhJ0KQiiTyeTz+R1TKs+47MigGAJFUXih0mpC - oZAmlhpohyRZAIHQ4dShZsPS+dixY36/H+p2Ql40lT0cfr6wsICTk0MJULIibydtftqCIKyurhaL - xVaXcIOmyHHc3r173W633W43m83tn3skSUqlUlCoo82XLpfL0Cu1PS2cMJvNJhKJ2jY1+OrKlStL - S0ukUxMImoA7YKFQqJFkxYgYvagnQRc0V4ahEa6uruZyuVY3SJqm77rrLsjP2uS1YJKNRqNOp5NM - uARCx1K3mh0KhWw2GwwQPM/fvHkzlUqpGTLg51NTU9lslkyuRkflJGG1WiORCKQTz2Qy9fo+1AU4 - LYMD1cDAAHg7txmEUCKRKBQKyk/a09pb5DYG3dZqtTocDjUpW1wuFx4fCASCJjRc2qNjAc8XMlAQ - 6gWqdWp4QpzEyGKxaHjaTYHEMaIoapVFT8M8sgQCoRXU3T8hRzR2JZ2fn0+n0+onS6VnTjAYJB7j - BqVUKvE8v+1sh/Veql1OWbCjOzMzs76+rruJF3zmd8BS0mazgZq97ZHZbJbned2fPIGwM4DleHd3 - t7LGxw5AFMVEIqGL488OY/c8QJwSKJPJaL6W4DjOZrO1YeZaXV3dYW4pu5Dd0+kIzVP3bnZXV9fE - xASu+CdJUsPj3b59+yKRCGmvxgLe1+Li4s2bN+sKCgoEAlartXXTmLJudqlU0iWOkaZpt9uNb7NU - Kq2trbV6Kdm2LGtqjiyXyySClEDQFpZld1j5H2yvN9ZNddpyBbLQd5pULSWbzQqCoPlp29YO3W63 - sdo8oZrd1ukIzVBf5lKEEMMwbrcb72slk8lisahy1KhImUYSJBoRnL4OIVSX2tzSdw2ZAhBChUJB - FMV661Rrhdlsjkajbrcb/msymbxer/qiXA3TUdN2RwlDIBgdhNDq6morEhzqiMlk4jhObynqAIa1 - TCZTKpX0luX/USqVlpaWent72zDL6A7c4F7mgmUAACAASURBVL59+7QtXdk2wFp99OhRTWKzCXqB - EFpcXOzp6dlJ7kWEhtm2Ck8jBUKgpgWcempqCgoU1x7isbfP1NRUJpOhKIphGLvdbjhjNgFoZ7py - 9UDxOvhXl2m4XC6D4QkXnTabza1+Vh3Sg2AQMJlMRlwAEQidCRgQc7kcGBD1FkdLOmTgUglIOzc3 - l81m9Zbl/2G1Wnt6enaDjo1pQwR1S4Hd7G2X5oSOBaJ4jN4OCVpRKBRYlq2Rz7uRBfGdd94ZDAbh - b8hvvO1PYEwBSzDDMAghh8MxNDTk9Xq1SgVBMDpNGneRgv7+fr/f335rMRTWApc2mqYhH1hLmzdC - yO12Q+F6ffsRLPUGBweDwSCx0xMImgDzo9frdbvdpG62jsCYxnFcR21h0TTd0lCsDsTokwv2hiAp - AI1Le8L4CR0OVmzx1tqmNDJtw7IeTooQgjhYlmW3bXZLS0s4CTPLsiqTKhF2A7Is8zxvNptBY6wX - KOhFURTHcWazOZfLtdm1D1uLlIYnSLHe6us24CEPpa21kg3Oc/To0UAgYDabSacmELQC5tlkMpnJ - ZHbYbraxALeCvr4+l8ultyy7GqOrN4uLi6IolsvldDoty7JeAW4EAqFJoOeGw2FYh291WCO72fiM - DMOsr69fvHgRko1vW1MXjoRhpa+vb3BwkPhdEABRFJPJZDOJJaDFQ/7z9fX1bDbbttkLEqrTNM2y - bG9vr8fjgUu3QQCEUAN7XK1IVJZOpwVBuHHjBkSRaHtyAmE3U3sWJ7QNohQRmqSnpwcyGu42NwQC - YUeybaRkfTM37IANDw+HQiHYsxJFMZfLqUzNIggCbKMxDNPX1+fz+YjPDAEwm83hcLjh7V/YauB5 - PhaLlUqldrYr6GD79u3zer1Wq3VgYKCdoRAQt1lXgRCE0PXr11dXV7WqiQJP+/r161AanVQrITQD - SQ5UzdraGjiCkSejEtKKCJ0JmMUtFovD4SAhkwTCjqduNZuiqGAw6Pf7IUKJ3kD9z///C29Yhclc - SKB+v8J2w9hsNp/Px7KszWZrzPm8YSKRiN1uh1T8bZ44sWarsivJspxOp+v6iRqgYjlZNxCapFgs - gk+K3oJ0BNCh9u7dC0l3SOdSgzJHBoHQIcBsC35kpCMTCLuEBp3GlT6xKh1QK6zLxAuOoCEQm03T - NCQOcDgcFoulPRYcHDwJqzpRFNumIUCfGhkZ4ThOvX7LMMzx48e9Xi9sPhM7F6FDgKaYz+cLhUL7 - fSI6uSN4vV6n00myHqgEIZTP51uqZuPW0snNhtBpIITOnj1bKpVkWYYVi94SEQiE1tKgomuz2bCS - fP78+VgsVnuygQIGeOWkVAnIQEPQBGiBsCWeTqfVl3Nv/roIoXPnzsXj8WKxePHixZWVlXYmEe3u - 7nY4HHX9BPJkEu9uQkcBHdbv94NPSvsF6Njcv7Ao11sKw8AwTCgUakOuMlEUeZ7fSfXMCa1mdna2 - XC4Xi8VEIgEuYHpLRCAQWkjdajYsREZGRqD6H0VRkGm8xk/AlXR9ff3atWuwTWG320OhUBvyMBN2 - D7gWJdDm2YvneZgy0+k0z/PtuSh0nxs3bqTTaeUnan7lcrl8Pt+uKrhKMASQUKSdzRLGCp7nc7mc - KIqdtvBFCGUymZ1XN7ulmEym1jUhSZJSqVSxWMSZO1t0IcJOAraX9u3bZ7FYeJ5PJpOG6NEIIWLj - 6xA6bW4iqKFuNRtGipmZmVwuB6/8+PHjPT09NaY06KLPP//8uXPnisWiLMtDQ0Mf+tCH+vr6SCQn - QUNwVOe2qf80BxQDmqb9fj+kNmnbpXEga10XbawSWA3IBEAwNFBlp9OaMcgTi8USiUQHirc7QQit - rq6m02mLxRIOh+t1JiLsZkDNNpvNLpfLEIGTgiDwPE9Gnk6AuD8YkQY7eSgUghRolOoXrzTG2+12 - t9tNIs1ayq7qjRDmdPHixZdffjmVSh0+fLi3t5dqS0gCaNf5fF4URbPZLIpi25yxEUKiKLpcLrPZ - zLJs7TkbbaC5GOBHABCrGcFwQKN1Op0N+3e0Lq81DC9DQ0NdXV0Q69GKqxDqgmXZoaGhUCjEMAzL - suSlENQAQ0Qul5Nl2eFw+Hy+lvpcNA8IvLi4ODU11eYCLoRqisXijRs3YFuFvAsD0aDT+OjoqNvt - hmX9m2++ubCwsO1bhx/CYSMjI8FgsJPHlx1AO3NxdQgIIfDfO3z4cDQabU9NF9gTPnv2bCKRCAaD - d999dzQabYObBui0+Xz+/Pnz6XQ6Eon09fVh49emx7fIRQ3U7HQ6XS6XOY6DjOutuBCB0DoYhmnM - v0OW5W17FjheNtwvYPurrroehNZB07TFYiH7BIR6QQg9++yzxWIxnU5DkHYnz5Uw1AQCgZ6eHhJf - pjsIIaxm6y0LoQ4a3M22WCwMw+CQttqLDFi7nD9/PpVKQfvo7e3lOK6xSxPUgBCCjFxana2TJwNq - Yz7o7e11uVzlcnlpaSmbzbZTZojNdjgcwWCwhq6rIXgLDnzU/X4/x3Gb3jI2oicSCc1t0nC2RCJx - 9erVVCo1Pj4OBg4NL0HYbTSplLaZYrGYTCZLpVKNY2RZFgSh4eVR54/AuwR4C5DPlbwRQgOAA7aB - nMY9Hk8oFCJGJR3BpZ16e3utVmuHO0EQKmgkNpuiKEiBBiuhbUcK+Ek8HseZQnahSV7DKVll1lmn - 06lh7egOzAxUDYRkI4Sy2WztVa/mgC1JluU2LL/wUo+m6UKhkMvlJEmqUYUevrJYLA6Ho/YA3bDk - sixD+W6yyaMhnd/jWoSyLEXnw7Ks3W7fqtljO9TMzAzY/up9rTgFmiRJbrcbXMd32wSqO3iABbeg - eDyez+d3bQ8lNAB020OHDlkslmw2u7i42OG72YQOAZQss9k8ODjYnl0cgoY0mAJtYGCA4zhQsyFz - TO1fGWgoaZGoGm5HCIKw7cYITdMul8tisWhyRciKqWNwTr3X1WsNmkgkVldX1XSH5p8kThjOcZya - 6kdWqxWiuGtLVUMwNWKTbTcN2Z1xHxRFZTKZlZWVYrFoiLZUu2dBP7VarW6322Kx1KshQwO4ePHi - 4uKiLMsHDx6snXCU0FJWVlYKhYLdbg8Gg21OdUnYGUAKNK/XOzw8bLVaSRMi1ABmwN7eXovFghCC - RThpM8aiQZcVCGODv+fm5nCQyVarIkEQYMkoy7LZbIZdtQZFbiUIoXK5rPnSVpKkbDYrCEKTq0b4 - +fT09K1bt7ZVejXsigzDWK1WHR2cWvFStAWaNOxm1z4SNVceAyGUy+VwW5IkSaWjwbbre1EUa7dS - A/nx7gBgD7NtxeE6ilKphFNmGqLJbTvYut3u7u5uu93e2PlhAqUoymq1auijRFAPvOKpqalUKtXX - 13fgwAG3203pZ9IlGA4YymD2Z1mW6NgENSCEnE4nwzBms9nv93em6kSoQYOKE1QDAr0rnU5ns1ns - tloB6N4zMzPz8/MQlOL3+w8cOOD3+9tcHFUN5XK5FbsosiwXi8Xm3SDhcXV3d3d1dcHGiBbSbY/F - YuE4rp1XVCLL8srKCi4g12mAVJCtgFKx6hIEIRaLFQqFxm5HFMX5+fl0Og09q1QqadK0KBWtNJlM - GmWDcQdQLpeffPLJ8+fPd7iBSVug+wSDwaGhIbfbvWO8o5usn4efQ5NGOkKTwG6BzWazWq1kJCTU - C0Lo9ddf53l+//79oVCIImYaQk2geVy/fr1UKvE8v7KyYoj4TYKS7X1Nq0EIuVyu7u5ul8uVTqch - z3CNg2maXlpayuVysFZgWbZjC5OYzeZwOKx5TkWWZcPhsFZLRq/X2/xJ6kLfl0XTdDgc7ti6KSCV - Gl0X+oIgCPF43Gq1OhyOBvx/TCZTNBq12+04BZpKp/FtsVgsoVAIBnHo1BWy1WjA8JUhEroYBZqm - oU6b3oLogMlkIjZ7QseSSCSSyaTeUhAMydzcnCiKgUCABNkStoWm6WKxiOvFZjKZFtWLIbSOutdw - kOfJYrEMDw87HA5Iv5ROp4vFIl76V3P16tVMJtO0tC2HpulWjH3a7snsttiMFr0UrYDX4XK5WJat - rWljxXh8fLxhUw7DMD6fT3lOyGqmSbC3IAjLy8uBQIDjuHK5DEYxLKfP59uqJSvd4QiawLLshz/8 - Ycjqp7cshEYAfxPy+nYSMNDBqNvkm91t8zgBgCa0vLwsCAJpAIQayLLMMMz58+fn5+cFQYhGo5OT - k06nkzQbY9HIPAHDhNlsZhgGth1Onz69uLi41UIfnMbz+Tz8NxQKuVwukiqpYUgf6yjgdaRSqW0z - nwEaBrrjlOMURUGi74bbBj4VJFmgaTqZTKbTaaXptIbXq8lkwlGjpH1qAk3TdrtdqyyGhPajJjko - wYjgkhbNjHU8z+uYVZSgF+Cns7KygivvEAg1KBaLMI9oFR5IaDONrPXpjZpeLpcLspqdO3ducXGx - WnMAWz7P8/Pz84VCAT7cs2dPKBQiswsB2BmJtcBspHLV1Yr7zefzPM83rL2D5Ha7fXh4GGraB4NB - n8+3rdMy/NDj8QwPD0Mwbb2XJha3rSCPxaBAk15ZWZmbm9NbFkLjVA9N8N9YLDY9PQ2JKpS2zrrI - ZDIdm3CE0ArAF+zAgQMWi8Xj8ezOgCBCvWB3xfHx8a6urh2Tr2T30Hg/37NnD2TapCgqGAy63e5N - 1QyEUCKRWFpayufz8G1XVxdxeyBgyuUyy7LGDcWUZZmm6fHxcY7jstmsGkW3Yxs/xAPD3yrfCCwT - 0+l0w2WBi8Uiy7Jk27aajm0nhNrgIl5EiTI0CCFJkqr9w8vlsrLYHuSlqzc5RTAYJPksdhUwGvh8 - PpPJlEgkiKsLoTYwj/j9fpvNxjCM3W5nWbauHR1CJ9D4EA8epPDKITZ7KysL3q6EFJ0TExMwwZCG - QpAkaXZ2NpPJGHc9CpKHw2GbzeZ2u71ery5Wao7jHA5H8z5Fjb0ISZKwa1O917p27VosFiNx3YQd - RiAQ6Onp0VsKQuMkEolYLJbP5yVJUi5tlUsX0LETiUQ+n69r8CRpF3YhCKFXXnmFeP8S1AAa1tjY - WF9fn9VqvXz5ciwW01soQt00PsqzLIvTOGUyGdCUNp1mJEnCa2iGYSwWi3G3LgmaY/SlBsh//fr1 - bDZbLBYLhYIuM6ggCDVSqmy7yYwPaNj4hSv8qUFpkd2zZw/k4W/sugRCXbTNoldXjyB0FLA38LOf - /ewrX/nKCy+8AFXcldvX8K8oipIkLS0t/eu//uurr75KbIUEJZsONQ3X8iTsQsDBEPzGs9lsPp8n - g4zhaGTbDTaiR0ZGBgYGLl68mMlkisXiwsJCLpdzuVyUYqUOK+lbt25hj3FiwSUoMZlMw8PDhnZt - AMnX19dLpZIkSVAcXuVvm9RslSeB8vVbHQCe+TUuBMLbbLbGTGD13gLk/oFUcDj2hEBoA5p0OsJu - wOfznT17dnl52WKx3H777cpaKrIswxZ3IpH4u7/7u9/85jcjIyNkbUPAgBWmetqtkUmUQKgAV1el - tK5YRGgbDaZAgyWyx+NhGIZl2WKxeOPGjWQyWaFgwD729PR0Pp8He0x3d3dvby+O/yQQjG55gX2P - Y8eO+f3+esdBTdK/wRW9Xi+kLtv0Kqurq1v5NIIM09PTb731FnRhNSIpD4OKaxzHWa3WbZ8A/CqX - y+VyOajRve21CAStkCQpk8mQDM8ENRw+fDgUCr344ot///d//+yzzy4sLNjtdhjlZFleW1s7e/bs - N77xjZ/97Gd9fX0jIyMkmyMBgxDK5XJk75GgFWR4MSiNB5FC9g6sIC0vL6fT6d7eXnwAxCzxPJ9K - pURRhBq8999//+joKIngJ2CM3hhg4OM4zryB+tuJx+NOp9PhcDT/BGw2m8Vi2fRhMgwTCAS22s0G - xTgSiXAc53Q6KXUbfcViESqTwX9dLldXV5fdbt/2h3ByKP1N7PqENiPLsiAIJN8eoTZQr2tgYGB8 - fPzMmTPPPffc9evXh4eHV1ZW0uk0QujcuXOXL19+8803l5eXy+Xy8ePHBwYGyGhGoDaWNJlM5he/ - +MXDDz8M9ne9hSIQCPrQoJoNqsXAwIDD4chkMhRFXbhwYXp6enR01GKxwJiCECoUCs8999wLL7yQ - y+XK5XIwGDx+/HgoFCLODwTMzmgJEI9tt9vdbrf6FGgWi0UrVRM2pbdSpGsowPCTQCCg0t4Bff/G - jRt2u72/vx90+2QyOT09XSNBQwUkOwNBF1iWJQk4CWoAl70HHnjgpZdeunDhwuzs7K1bt/D49swz - z5TLZSh93NfXd+jQoUAgQO2U6YzQPDzPQ1iB3oI0jtG3QHYYZNoyKE2lRN6/f7/f719dXaUoanZ2 - 9ic/+cmePXtwqG0+n3/88cf/5V/+ZW5urlwum0ymoaGhPXv24B0wAmHHAMuvXC6XTqchIkvNrzwe - j1YCQAK2ZgZilT+EwyAQEUd/iKIoCIIoio1dmkBoD2SlQqiL48eP9/X1XblypVwuK22I+Xye2sh/ - OTo6utVWNs71SFrd7gHedSgUOnHihDKevy50V3EhpUtd3nkEAqGaBmNioePdcccdd999N8dxkiSJ - ovjrX//6iSeemJ+fT6fTy8vLP/jBD7773e9ev369WCzKsuz1et/3vveNjY2RKcfQBk5CDXBeHJXH - a9IX4HI8z/M8T7WrdTmdTrvdjmNGWJa12+2gde/y3k0gaA7pU+0H/Ix6enoOHjzo8/mqx2pIUsMw - zOHDh/v7+zc9iSiKoJ+3RWRCB0HTtNPpbDjvjO5tRhTFWCxGWm8nACOP1Wolaa2MSIO72TDB+P3+ - P//zP5+amnrppZfK5XI8Hv/e97535syZSCQyPT197ty5eDwuyzLLsjab7SMf+ciJEyfAsWqXA5t+ - tTM/q4GkzMWA6bdcLutVjhIWYXa73eVytdkjGjZM1tbWlpeXS6VSm71FIBuQz+c7dOjQ66+/buhs - dgQCgYCRZZmm6fHxcZ/PF4/HKyYXmH+9Xu/o6Gh1/kv4dmVlJZ/PR6NRqKdAJuvdQyaTMZvN1YlX - VEZmzc/PRyIRm83WMgG3wWQycRwHqYv1koEAwLJq//79PT09ZIllOJpKgSbL8tjY2Hvf+97p6emV - lRWwfv3qV78ymUyQytVkMpnNZqvVeuzYsY9//ONDQ0PU7p5pQBtMpVIIIa/X26RDjlbq+o7h6tWr - 8Gz1EgCSArb5ddAbpfLaeVEMNGlJkorFotFD0QiETgN6N4wqpHPpwpEjR3p6eqanpyvUbFjvHj16 - 9OjRo9VJ9WCBZDKZHA4HcfPZVciyzDDMq6++GgqFDhw4gNMVqYem6TfeeOOuu+6KRqN6uX8yDOPx - eEij7QRg5M/n85BMmrwUY9GUXQRe9ic/+cl3vOMdfr/fYrFYrVaEEJQONplMJpPJZrMdO3bsy1/+ - 8uTkJLXTZ5ptl0Fw+36/PxAINKNj41LJqVSKePVQGw+2p6fH4XDoIgBsKRcKhWw22+YQZRh2BwcH - R0ZG9PIpSqfTuts4CISdB4xs/f39HMdpUv+PoB5QpCcmJiYnJ71er3KBC1YPk8l0+PDhwcHBTbeY - eJ6HcDmbzbazVz4EJfCuDx8+PDo6Wr3Mgzq4257k3e9+dzgc1rcYB2m0HQKUhbt58+bi4iLZzDAc - GqjZXq/3i1/84he/+MUjR474fD6c8sFms/X29j7wwANf/epX77333t2QSqFYLEIgeu3DwADRzNOA - 37pcLpfLRXazMX6/v+GMI5qgS2FDuGI8Hk+lUm2+NMZisXAcR1aTBEIrcLvdDofDZDKRBVabQQix - LDswMOByuaq/DYVCNXKM22y2rq4ul8tFRsVdBbzuaDTKcVyFRk3T9Nve9jabzaZcKmzaqaFEqPIT - KJHbmEhk3NgBiKJI3qMRYcG/peHfg0137969Q0ND73rXu06dOvXDH/7w7Nmz6XR6fHz8K1/5ytve - 9rbdoAqC6+zs7KzJZOrv72+PvqFj3A5BCU3T4DKtlwAIoWw2CwXq21wTGHwj7Xb70NCQJgXACQQC - BiboK1euQEUP0r904fDhw5FIZHZ2Fn9C0zTLsgcOHBgdHd0qYIdEURqdZtJ9b/pbhNDrr79eKpWU - cyUU4qloRdU/53kewjDrFQn0c1JE07jgHU2v10veo+FgwMG7mVOApm21Wvfu3fvII498/vOf7+/v - p2k6EAj09vZ6PJ4dr2NTiipHg4ODSh2bGJ90oZ2PHRwTzp07l0gkdNnNBlfGcDgcjUbVl+zWClgN - pNPpS5cupdNp4tdKIGgOrpa342fSTgPP7N3d3Ti7JKx5fD7fBz7wgUOHDpGXslNpZjrbqlWsrKxI - khQOhy0WC86Tl81mK3aqq9PpQQqkBkTieT6ZTBJnY6NTKpWa19cI7YfR5J3BrANJxY8fPx6NRrG7 - S6f17ZaqAVar1Wq1Vgyvm15OpT7WUY+OsCnQooaHh91uty7ZKaCRrK6uLi4ulsvlNl8dkCSpUCiU - SiVdrk4g7GxI2hu9oDdqIB84cACX9YIPg8EgWNUpYv7YoSSTSc1XjGAKTyQS5XIZty63272t70Mo - FGqsjIgu1n+ChsDrUxmUSug0GK38PPFJFhYWkskkzsvVaX5TPM+3J0MV3H4ikchkMtUdAyFULpe3 - 7TA6VqgyNKIoQi2WNlwL5rBwOKzeh1/bmRsE4Hle82R4dU3PNE13WmcnEAiEJgGHncnJSUhJBR9C - 8jMonkLYqcCuiVYLCTjV+Pi4xWKB9Hjwuc1mq+0JjLXxUCjUQFofu90eCARIaS7jAi+OZVniMW5E - tE9jyPM8aIad2aWvXbuWSCTadrmzZ8/OzMwo9R+cmj8ej0PZsxo/X1lZSSQSRNNWDzzPmZmZ9fX1 - VpxcEz22XC5rbutphYoLclbfMriuEAM5gUDY8cBK5vbbbx8aGgJdBSHkdrsnJyd7eno6c51D0ASX - y6VyYlWzNoADGvZ6a1jhJxZwowPvfWhoqKenh5hLDIeWfQ9GASih3rHZuXp6etxudxsuBD3hrrvu - 2rt3r7JwIvzhdDqDwWC1h3kFoVCoWCwWCgWi0qgE231dLpfmD61UKuVyuU0ds1VOgSDS3NxcIpHo - ZOcfkHNpaWllZaX6fgVBEARB+XiJUyuBQNgUVJPahzV5XfXi1f4VQigUCh0/fjwYDEK2qv37909O - TjqdTkqnHQU1D7D9Uu0w1L/ZUqm0vr6+7Y4IQujq1avgLk5mTIJK8LK2Ikc9wRBonzAJ6vp0rPEs - HA6383IwDVfDMIyaR2Sz2aLRKDFf1YvP59O2gDb4DQqCUCwWzWZzdVa/uupbGiUpoNVqhbAFtJH1 - FKvfgiD09vbihwx5d6FJG+LWCARCG0AIQQgPtcWsB/rGVmtHfDx8C0cqf1txLfwhnBCfHB+A1ZsK - /zLlh8pfwb+SJLEse+zYsXA4vLq6KstyT09PIBCAqzS/2sHSKu8LbZGqmvp9WwBIu6nRVo1gm166 - +m/CVsDTu3Xr1pUrV975znduuwGey+U62cJO6FhIfzQoGqvZCKHl5eV4PC4IgrZnNiibzpR1UVE7 - kaALtKJQeYUdGv5rtVrBZ6G2oRF+CKn4O9YURW3IGYlEYBFZcb8cx0mSBC0Tbtnv9+/fv/+NN97o - 5JsiEAjtBCEky3KhUCgWixRFOZ3O6hxOtcdMHFyz6TRa8cMK/XmrXzWALMuiKHZ1dTmdTlEUaZoe - HR0NBoPVGV63nQI2pUKvrjBrVh+JgSO3WmaoCU2q+Dm+IlhOyabrtsDzGRgYiEQiamqkDw0NkUUd - oQHIJrZB0X43W5KkTssuriNkitILWOFpu0rYVIeES9x///1PPvnk/Py8GkN1i/JYaN7YNt16oijK - 7/crL4c2qgyQ1q6G5k1vBIJRSKVSTz311NmzZ0VRPHLkyMjICMuyeNNYkiSO4ziOSyQSPM9X/3xg - YAD+EEUR7H2SJDEMk8vloK6H8uBMJoM/TKfT6XTa4/F4PJ5yuVwoFMxmM/giORwOWKJYLJZUKpXN - ZlmWtdvtcHJJkmw2G/jp8DwvCEKhUBBF0Waz3bx5M5fLgV565cqVU6dOjY6O4vqdCCGr1cqyrDK7 - lRpomi6Xy+vr64FAIJ/P2+12k8nEMEwmk+E4TjkI0zSdSqVsNlsikRAEgaZpURQjkYjVal1eXq6+ - KH56NS4tCMLS0pLb7YYnAOF+CCGHw+H1es1mM8m6pAabzaYmUhIs8jXcNwiErSAJcQyKxmo2TdPd - 3d2hUMhisWh7ZgJBPSaTSZblYrFos9na1hTVlzRsnaLVHv1NeRW4l0wmc/nyZaib3QYBjA7RtAk7 - HrC+/ed//uc//dM/LS4uyrL8ox/9CKoZKRs/x3GRSGRlZSWTyVSf5Pjx43B8Op22WCwMw5RKJYvF - sr6+7na7PR4P1thFUVxeXgalnabp+fn5tbW1UCgUjUYh4ajNZsvlcjabzev1lkqlcrns9/uXl5cT - iYTJZIKSK+Df7nA4fD4fQiiTyRSLRVydRJblfD4PV3zmmWeef/75np6eSCQCiqgoir29vV6vd25u - LpfLmUwmNdMBQshkMqXT6Vu3bu3ZsycWizkcDog1W11d7e/vB+MCuIWbTKaZmRmn07myssLzPHwY - iUR8Pt/09HR1ukp4ejUuzbJsIpGYnZ11Op1gWYhGo/CQJyYmTp48uX//flC/t70RghoQQteuXRME - Qc2+N4EAQL+Ox+M8z9cVn0joBLTfzd40cpVAaCcIoba1Q1mWGYZ54YUXrl69Cn9v+5NWSKXX4AuG - ea/XOz4+/sorr5COvy3pdNpqteJNMAJh5wGGpGw2+9xzz4EGSFFUoVCoPnJtbW12dnYrD7j//d// - VZ4Qx0JXG6oYhpEkCT6EvxFCsVjs0qVLFEXh+o4QrQP7QiaTaavrwjBebTRkWRY8fkulUqFQWF9f - VwZ7WywWk8kkCAKWRA1YWjBGKL+aPW05twAAIABJREFUmpqiFF5FsizDFKM8bH193WQybeofjp9e - DSqueOHCBfjw1KlTL7/88je+8Y13vOMdyhyuhCYhG5KEeoFOOjMzs7S0tGfPHqJhGQvt1WyKjCME - vYHlyLaJ3DUBLqGjcx2s8ziOCwQCugR9wcqP4ziz2UxG/xqAbjA7O+v3+3t7e8mzIuxsRFHExSxN - JpPT6QQNGR/AsiysIM1msyiKoJ3iDWqTyZTP52VZxlor9CBYZTIMA0UQGIYRRRE2XWE7GtTCcrlc - KpVMJpPZbBYEwWw2wwAFJ4FzYt2b2th+h2TRMJCCcl4hM4amaZ7nlU5MpVJJeTa4QYRQjQTU4B8O - klfESMNmNfZylyQJbhP8sypC0KH6g91uh01veDj5fL72C6q2VuBIK57nz5w582//9m+HDh2CguFk - vGoSeLCHDh2yWCzEaZxQL5CPVm8pCHXTEjWbQOgQ2qNm0zR99913j4yMTE9Pt99rGibsfD6fTCbL - 5XJ1kqG2iaHLdQ0EtMZ9+/apLDRAIBgUXJIAB5L4fL7bb789EongjWWEUCQSyeVyDMMMDg4uLCwk - EgllvwiHw88880yhUMhmswzDmEymYrFosVj8fr/D4bDZbLFYDCHkdDrX19cnJiZsNtvq6mo+nx8d - HXU6ndPT09euXfN6vYODg9euXevv7x8dHcV69cLCgtPp9Hg8eAO8UCjE43HwXQdv7UQi4fP5nE4n - lll5gzRNnz59+saNG1jTrp5uwuEwz/OJRGKrB+X3+10ulyAIKysrSk0b/xsKhSYnJ/P5fCwWS6fT - fX19e/fuVZ5BlmWbzXbp0qW5ubn9+/fzPO/3+z0ez40bN1588cXar0lpEVB+QlEUOL3ncjk1edQI - 6uE4joS7ExqA2LkMClGzCYSmgBXYU089df78eXBEbNulYXk0NjbGcRzP8w6Hg8zfm7LVIhgzMzPD - cZzf72/DTKaXHYSwA5AkCRyeO3zJBT1uamoqkUjAlnUkEvmzP/uzBx54oK590W9+85utFHPnA03l - 6tWrAwMDN2/eTCaTk5OTkKyLpulLly6NjIzMzs4ODg5OT08//fTTXq93cXHxH//xH8HcgDf8CVrR - 29tLpmkCoaNo6ZRK1GzCDmSX7KzCuJDJZMrlMvjJ637juguwKduOoYODgxSxFhM6GISQKIoXLlxY - WloaGxszRFu12+2Q86x6N5jQHuCxj4+P0zQ9MTFB/X79CPCsgW/37ds3NDRksVjOnz///e9/P5VK - 6SY0gUDYDDKKtohtd2KaoSWOi6QpEPSlM5W9VkDT9OrqKpSQ2T13XS/pdDqTydSIayKbNoTOBJql - yWSCHTCHw2GIfFSwZT0wMMBxHN5+J71ML7BjfEWsCvwXO6jbbDaTyeT1ercKRycQCHpBBs/Wsbq6 - Cpk+WoH2arbmxYoJBPXA4iCZTBYKhV3SCHGGIZPJpO8tow10lGFTVlZW4vF4dcEbTAfKTCBQinj+ - cDhsMpkGBgYCgYDeQm0PxGMvLi5C6DVN08FgkOM4veXapcD4Vj0448+pjQxw4DShi5AEAmFTYBZw - uVwul4tEHLSCmZkZSGDZClriNE4S/BDaAKzkKoqdwHhksVggxauhNW01fix42VQsFvP5vCRJ4KWp - F/Pz88lkstMee19fH8MwNRKhd5rABIISnuehTNTS0lIqlep8fbUigzdFUU6nk2Ql0Avl69jqc+xu - QBZvhJ2NQVeGVqsVqgwQNGdiYsLhcLTo5FqOp1Aqg2XZO+64w+/3a3hmAqEaqHGCLfHZbBab4WEx - asSRVEmN3VdAuUcBxR703ZWFGjwdaG11Op12u50sHwmGA3o0brpQmVlXieqA4zisWmezWWXtKwJh - d0K6gO4YbmUI4393d7fb7SbtpxV4PJ7WTawtWXfef//9kUikFWcmEKgNxXJ1dTUWi5VKJew1jWuu - 6q5wakI8Hi8Wi1t9K0lSPp/H8SQdUte0q6urA2eCznRlJxC2BTYY/X6/1+u1WCztrxfYGCB2V1cX - x3HQ+wqFgiAInTBGEQg6ks1mjdKLdyQ8zxvuFcDqBUoe6i3LzqSl68OWqNlPPvnk4uJiK85MIAA0 - TYOXOP7E6XRir+Dh4eFAIGB0zcrhcNTwAJckqaKoaSfcL8uyHbhpTLJFEAwK6KiXL19eWloql8tr - a2vZbFZvobYHxJ6amorH42AB3Lt3bzQa1VsuAkFnstlsJ8zUuxB47CsrKzdu3MDbM4YAFrqrq6uZ - TMZYBgJD0OogAi3DOEFWSZLOnj1LjC6E1gFdoquri6IolmWVPQSGzmKxWC6Xja5Z1Y7ANJvNfr9f - 30jsChBCO8OPgEDoEGBWTaVSxWKRYZjR0dFQKKS3UNuDU1GCPw7DMD6fr3XBbwSCUQiFQgaK+9hJ - wIIwFAp5vV4j5omoWOsStKJcLrd0f6gl59U94zFhN2A2m6uTWuH6N3qVJGnbRWmatlqtnTNhw43f - unUrHo+T7k8gaAJ0JbfbbbVaaZr2eDwOh8MQ/YumaVjOQgprURTJPgyBYLPZ9BZhV+NwODweT4cE - 2dUFiX3THOzgUCwWW/dsO869k0BQSY1Bx+Vy6WitbNtQ2FHDLkxakOjIcBMYgdCZQFeamJiIRqM0 - TUOmQ72F2p7q1NadM1IRCDpiiP67syFjEQGAGYrjuBplaJqn5Wo2MWATWkR1wC3+b6lU0qX4pyiK - OF66PUN5Rym0NE3v2bMnEomQaYxA0Ao80HWUWU0NkGncWDITCISdTUetmgi64/F4WlopTXs1W5Zl - mFbxHwRC24Al6cWLFxcXF9s/mIqiCGHh7bxo58wZCCGn02mxWEjHJxA0AVTr+fn5eDwuiuL09PTa - 2ppR+pfSLpBKpQqFgr7yEAgEAoHQTrRXsxmGsVqtLMveuHEjFouROG1CO4HGBrvZ7W94VqvV5/NB - 8FU7r94JXQzW05cuXVpaWmqRPIbbzSMQmgRXxgoEAhaLZWlpKZVKdX5HUMa8MQzDMMzU1NTS0lKH - i00gtA68/6S3IA1COi+B0ADaq9mlUikSidhstlKp1OZtvfZDxp2Owuv19vb2ut3uI0eO9PX1tf/t - 0DRtsViaz1hYl+RYp+2EslXxeDybzbZIDOIgQ9htgEY9MzOztrZWLpcN1AUQQqIo4kjUYrEoCIK+ - IhEI+oIQunDhAhSQByBBoN5yqYJkMSQQGkBLNRtX+HzzzTczmczIyEhXV5dR1gQNgDbQWxACBdOV - xWLp6emx2+2BQMDhcBg607jK8yCEwuGwzWbTXccGgVuUwBPXB8rlcmSmJ+weoOXH4/F8Pi/Lsu52 - NJXAcBQKhWAclmU5HA57PB6jyE8gaA50CqgUgFePYIoyxDJSEIRyuWwIUQmEzkH73Wy73V4qlUql - ktPphGzPO7VbQr4rMu50CDRNJxKJ2dnZUqnU1dXldrvbtqSjaTqfz4uiaLVaoe5Ow6fCdb8LhcK2 - +iRCSBAEm80GRf/0WsLCooFqZU+HW2NZlgShEHYhhmv2MByNj48Hg0FQJ/r6+gKBgN5yEQg6MzIy - Yjab4W+aplOpVCqV6nwvFUmSnnvuudnZWVEUO1xUAqGj0FLNBlvd8PBwNBq12Ww7fqdXluVisUjK - M3QC8Baef/75t956SxAEqNfankvjaHBZloeGhvr6+pp3Gi+VSoIgKJtWdVdCCNE0vba29tprr8Xj - 8a6urt7eXovF0ublOIiBEEqn06Iout1uu93eomtxHGeUosGasLPHT4J6lJOpgdo/2MUoikIIXb9+ - PRaLkSZtRCRJKpVK5N1pAtaxAYfD4XQ6DVHG+ezZs6VSSW8pdiOd3zYINdB+N3t9fT2Tyez4qGyK - osxms8/na3L3kqAVCKF4PM7zvCAI09PTyWSybdelKIrjOJZlnU5nk0omtCWXywUnxJ9vtbPtdDrh - sEAg4PV6KZ10s1Qqdf369XQ6PTo62rqCXoZYi2iIMrSVQDAQsDt37dq1tbU1mqYZhkmlUvl8Xm+5 - CNsDsQnKyueiKMZiMeK4pwkV1nOn02kI2zFN03/yJ38yOjrKsmznS7vDIA/c0GipZsPMChVHdsOI - zDCMJvmudifVzg7NNxiz2cwwjMPhGBkZ8fl87RmboAHMz88XCgWt9CKz2VzhJrq+vl7xfOBbh8Ph - 9/uxrUevTocrmTkcDrPZvOP7fquBB5hIJDKZDIlF381Av85kMpA/zO12d0Iihm0B8aLRqNvthqHe - YrGQBXqHo8ymqfyDZdlwOGw2m8nrax6DPkNJkrxeb0vLCxMIOxLtVURBEHbPutCg6kQniC3LckU8 - UvNRBnDCQqGwtrZWKBTac5uw5J2bm8vn8+VyWRTF5s9ZLXm13QqXzIFL6/5OYc/KKNlc9ELlw4Gl - GHjgG3RZRtCQ8+fPJxIJhmEmJia6uro6v0lAO4/FYrlcjqZpk8k0Ojra3d2tt1yE7XE4HC6Xi6Io - hBAYkU0mU8MDEZkOKoAH0vmWsgrAWc9wYu8MotGoGiOXgbLW7ypaUjd79/RDI94pdEXdJ79sNpvJ - ZEApBWFyuRzP880LVi6XFxYWMplMO+8R8oLEYrFEItF8q6g+Qzgc3tRvwufz+Xy+9odkVwPtSncx - OhxRFNV7+jgcDti6bLVUhI4F3j7k2mQYxul02mw2vYXaHrCZLi0tZbNZqJvd09Pj8Xj0lotQCxiX - Ll26hGfP0dHRJtsb2NO1kc/gKOtmG84LEnKkG05sowNr9UOHDkGm29qLAUEQBEHQfW1PqED7PkPe - cceCs1ivra1potA2LAZC6He/+91vfvObRCKBxYC8X5pI1X7NJBgMWiwWh8MBVn/NBah21oJLWCwW - t9tdkVWF0IHgmmSQK05vcQhGAoeQyLJslHB9XIDA4XB4vd7BwUG/309sRp0M+CK9+eab+XweZrH9 - +/dD5HADLw5HvhSLRbIsBBBCb7zxRqlUggdrrMdiLGl3DAihbDZb21YFr2Z5efnmzZuQjrdd0hG2 - h93+EMLOQpKkTnDsHxoaYlkWnNMAqKpquHWYJEkMw4yPj3s8nkKhgJcUrb4R7JZ569YtqKnbIbOg - 4d5ge4DHwnEcTdPK5HYEQl0YpX/h4UiWZZIu1ECAsRveVPPx2OSNVzA9PV0ul4eGhnA5HqM8IqPI - uWOAqA2e51955ZV8Pu9wOKxWaw2HArfbbbVaDVf9ccfTwtUeedOdBrwRm80WCAR0dDOmabpcLh86 - dIhhGHCgBUlSqRRFUc2k2dDljuCiPM9LklQsFsFNoD2S0DQdDAZDoRD269O90+kuQIdjCI9fQqdh - RPsjpRgby+Xy1atX19fXw+EwRUaJzka5TG/GHA8n8fv9FHnjBEL9gJp9+fLl69evF4vFBx54oK+v - b9O5AD4JBAJ6iEnYBhJoseswm81Op1MvN2PY4kilUuAGE4vF1tfXYSf21q1bp0+fXltba3hqb+li - dKvtYmwjEAShv7+/q6urRQJUXxch5HA43G63yWRKJpOQbag9V98UyL1PtmoJBG3BESKwWaG3OPWB - EBIEIZ1O6xipRGiM5icUiMzXRBgCYRcCa0uE0N69e3HhBr2FItSBlgtiGJEhBRqGIoZMggK8XgRl - LJ1OC4JgtVrdbvfY2JjH45FluVwud0JOrwokSdrUGwc+SSaTpVIJvHrgc+wP1qIbgZPzPJ/P5yVJ - EkURMpDpMgTDQoqm6b6+PnD+b78MBMLOA7r58PCw2+12Op29vb1GdL0GA5zhDAS7DRjGDx48aLFY - wNjd/GxiIKfo9gCPlGhKBJXgwI2pqalCoeDz+fSWiFAfWloZoSkMDw/7/X6WZScmJsBDjECoACoV - MQyTSCRw4mWPxzM4OBgMBisSKqqvgdTV1WW321shsCRJUJymWhjlrKncUm5PsiJJksrlsizLpVKp - UCjokh6Jpmmn0wnlu202GymvSiBoS29vr8vlSqVSsVisVCq1eY2Oi1M0dt1yuWy32/v7+30+X0d5 - v9dVdKO6AmVjdE4SjWrg7YTDYTCIGDQdqSFYX1+HRJjk+RBqg7thJpMxSv5LghIt1WzsD1woFGBv - jRgyCZsiCAJUwDp9+vT3vve9U6dOgT9hIpGQZbli00NNRRBoZk6nU6sNk4o1pSRJ6XS6VCptdelc - LieK4vz8/OLioiRJNE1ns1ns7aOJSNXXRQjZ7XaXy8WyrCiKemW2QwgVi8V8Pi8Iwvz8fCqV6th1 - JIFgRC5cuBCPx9PpdEURxLYBwwue1uv6rclk4nk+lUoVi0Wqk/bx6jIc7J6VjHIOhbIInfPKdgDQ - kPBDJs+WoBKS28ygaK9mr6+vQ7Ll69evx+NxMojsWjZ99bCymZ6eXl1dlWX5Pe95z6c//em+vj7Y - 03Y4HMrIXmy42TaoD28mC4KgifA8zyurLpnN5uHhYa/Xu9UwB/Ira5JZrVa4ndaNjDRNMwwDlzCb - zRaLRa8ouHK5XCqVRFFMp9OkfAuBoBUweqyurvI8z7KsLiuteDw+Pz+/srICmjZWtlV2c4ZhJElK - JBKFQqGjRob19fUbN27gGtFbAd8uLCzcvHmzUChs+q1KBEGAWuId9RwqgGkOLLY3btwA43InC2xE - vF4viaEgEHYD2sdmW61WWPeXy2XwcCB72juJuqpVQbRwxcE0TXMc53Q6aZoeHx8fHx8vl8sMw4ii - 6HK5lIoi9sfedo6HS+zduxf8EmsIv63kcMzU1FQ4HO7q6sL5BRwOx7YC4EUw7DPXvlDzKG8nEomE - QqFWX3Er4C2TVDcEQivQax8DBvBXX331P/7jP0wm0wc+8IHbbrstHA5DBgqapkEwNbJ1lLs43NfZ - s2cff/zxEydOPPTQQ9se//jjjwuC8MgjjwwNDeF7gcggk8lUPfQp5yycMuPWrVvf+ta33vWudz38 - 8MPKbzW7MS1QmoYHBgag8EenCWl0yM4kgbBL0F7NPnDgQG9v7+XLlztqWiVoBRi5IdHdVseA+lcs - FkVRtNvtymkb/ujp6cHqKEIIfJ7X1ta8Xq/D4cAHwwrG5/PVvhw+LZRmrS28ylN1d3eDIaDippQP - QdnCwXO7XC7XOHNLAfOWXlenNgIddRSAQCC0gtHRUZ7nX3755VdeeeXYsWPvf//7Jycno9Eox3Gg - PWI90ygzPgzm+Xx+ampqdXW19pGyLIui+NBDD9E0HQwGqY3bRAhJksTzPHgS4ekAfiJJEtTjAeCK - c3Nzb7zxxt69e0VRxJuZ9Aatv29VQLEPk8mEELp48WKxWHQ6nQbaLDGEqMQ7gEDYJWi8LqdpGjQl - DVNoEDoEmL0gEMDn822b6QrC+TYtFIyXI+AJaTabTSaT1+s1m83K3fJkMulyuWpvIytlKxQKNRRd - hFC5XIZrbXvC6p1h5c3CVeAJSJLEMMzBgwd9Pt/a2ppeE3wnZ9YhEAhGhGEYWZbHxsY++clPLi0t - Xbhw4emnn37jjTcGBgbuu+++d73rXXv27PF6vaBksixrLGXbZDLVDrTBaSx5nt+7d29FPK0syzdv - 3nz99dePHDkyNjYGMwtCCKKNRFEsl8tWqxWeoSzLLMteunQpHo9TiqURnkE6wYUYsoq89tprMC+L - ori0tKRVHFZ7IJMggUDoKLTf/mosS0or0FyMTl49aCVbDZ9w+CqfzyOE3G43y7JbHQyfuFwuMOdv - dTaEUDwe5zgO1mdOpxPyZmMF3mw2432AbcWmafrmzZvpdHqrl87z/KVLl/r6+sLhcMOPC04+MzOD - EOru7na73eB/6Ha79SpFDgiCoO96iDiNEwitBm1Aa1S6b9uREA74wz/8w6mpqWQyOT8/v7y8vLS0 - dPXq1Z///OdHjx79gz/4g9tvv727u5vjONAzWZbF26HNS9g6ajvgwGZ1LBZbXFx84403Dh48aLVa - LRbLyMgIx3EURWUymaeeeuqnP/3pyZMnvV5vMBh0OBwIIUEQVldXT58+PTMzs2/fvsHBwUgk4vF4 - KIoaGxvzer3FYnFxcREyxdI07XK5wuGwy+XSfYEBnsyHDx+2WCxgX/D7/frOa/XC83ypVOI4rqMc - BJRApwBnB71lIRAILUd7NbulS2317kCtKKfUycn0QclR//DBvl4RUitJEiw7lMFmSmsFTdORSAQW - B7gQFxyJj8FJuWoIAwcXi0W8+QxvFirWdHd3QxYWqMCsMvCPoiiHw7HpmgAul0gkFhcXA4EA1bRf - mSzLi4uLpVJpdHQUQtfAutTwCZsBlt3z8/Nzc3OiKII8ukCcxgmEVoAHK+jsMBNtNcDC2F5jOlD2 - 061iRCt0AIvF8ulPf3p5efnHP/5xIpGQJCmVSoHW/fzzz+/Zs+f2229/6KGHxsbGPB4P9mCqK5W3 - XmyVqpOiqJs3b37zm9+cmpqSJOljH/vYmTNnLl269JnPfOaP//iP7Xb7U0899V//9V9Xrlz5/ve/ - f/78+T/90z+dnJzM5XK//e1vf/CDH1y+fLlUKjkcDrvd/sEPfvDkyZP9/f19fX1ut/v//u//Tp06 - tbCwAEm8u7u7P/vZzz744IMtzZepnqGhIbPZDPO+3W7vBJHUAHP6+vr6+vr6vn37aoeP6Qg0rXPn - zhUKhWAwaJTHSyAQGkPPYM4GKJfL2DOtNsVicWVlZdMKTA3TsXXhEUI2mw1ybqlf1uRyObPZbLPZ - 8KqrWCwWi0WTyQSRxvAhOL/BCsBkMpnN5lKpVCqVwNuNoii73Q7+2KBa2+12WLrVUGXhW7PZ3NXV - BQ6HIPbs7OzCwoLX6/V6vbATrvJecGz2VkomTdOBQODee+91uVxUE5v/IOrQ0FCpVLLZbHWZNloE - WCICgUA4HNZFGJqmLRYLtnGQdQOBoC3Qx+UN8IZY9WEURcmyzPO8xWLBDkcViKKIbaPgJ7zpFSmF - OVKSJL/f/9GPfvT06dNra2v4c57ni8ViLBYDf/KJiYk777zznnvu2bNnD8dxxWLRuKY3mqafeOKJ - 119//X3ve9/9998/MjIiSdIrr7zyzDPP3HHHHf39/evr66VSyWQyFYtFmEkRQr/85S+//e1vl0ql - j3/843v27JFl+Xe/+92Pf/xjsFNAzcVXX311z549Dz744Pj4eCwW+/GPf/zP//zPk5OToVBo29Qh - bQAXy6BpOpFIKCtudDLw3EKhEMdxOtqaVbIbdrMNESRvIHZ8g9mpGEzNXltb4zjO7XbXOAY2aV98 - 8cXvfOc7CwsLWjnXURT19re/XflfvBDZ9OCK7FlUy9QP2FgeGho6dOgQzPRqfiKK4muvveb1esfG - xiwWC/j4Xbhw4cqVKxzH3XbbbX6/X5Zls9m8vLy8vr4OUxeET7/66qvZbJZl2VQqRVHU2NhYuVxO - JpOgYx86dGhyctLv928buQ05z7BmiBACHRtc8hp4XHa7fdOIcfjEZrNtGijeAAzDDA8P22w2eHTV - B7TojUMynk0tTYFAQPkw2wb0L5fLBRs1ZFolNENLh0rj4vF4QHN46623XnjhBZ/PB9Nc9ZEMwxQK - hampqe7u7lAotOl0EIvFOI6TZblYLA4PDweDQeVjh8UxKPMMw+RyOZZlrVYrQigQCHR3dzscDggd - wjFBCKF0Op1Op2/cuPHCCy/09PQ89NBD9913329/+1so3NiZyjbLsoIg5HI5ZaQSADcVjUYfffTR - hx9+eGBgQJKkj370o6dOnTp37tzU1NTo6OiJEycymcxPfvKTxx577OTJk36/P5fLPfnkk2tra1/6 - 0pc+/vGPQzrPO+6448tf/rLSdcvn833qU5969NFHPR4PlEL84Q9/eOvWLR1LRShRTiJY5TYKGs7y - LQKa2f79+x0Oh5qcrMYF1ira3t1uVt1139EhNIbB1GzIvFX7GJggX3zxxTNnzqysrMCHmkwVs7Oz - VFWqbYjvUnYA7Hddfd2KLQKtguskSXI4HE6nEyKZax9MbfjyZbNZk8kESwG8osrn8yzLQrw0Qshk - MkEtaFDtYNDMZDKwkbLpbnYoFPr617/+3ve+t3aONJZlvV6vMjk2TdN33XWXzWarSPG9KRWjLfz3 - ypUryWSy4glUP5CKt1AX8Kvp6Wme50dGRrCFouIYyJGu4XwA1+V5Pp1Oezyeal8+vRIigGC5XG5h - YQEW3+2XgbBj2M2rqBp8+MMffumll5LJ5HPPPXfmzBllkmp8jHLnGXazld4luGOijUyQoP329/dj - bVw5MOI6EfF4HGaEUqmUz+dnZmaUhZRxzQWYAUVRBK/dubm5H/3oR+l0GsyvnTksQEHvdDpdKpUg - +2bF83z44YfT6fTa2trPfvazQqFQKpXm5uay2SxkCItEIvv373/22Wej0Sjk+zh9+vT8/PzExMTk - 5CS4idE0PTY29jd/8zcWi8Xv98fjcZqmJycn77vvPrfbDW5i991339NPP62t551WGK4zGsVOl8vl - cN9pzxXbP7SurKyEw2FtPQt25wQBt3zo0CGn06m3LIS6MZiavW3SaeiEpVJpamoK1EiKonAaz9r9 - EyEEjnaSJEE2KYQQ1EmSJMlsNkN6LcBkMplMJghmxkY77IxHbaShhr8hcBf/BH6u7WABkXIQ61Xj - MLgXHFNdETsHbuGyLMM29VZgh0N4qtlsFj6Hhy8IQjwe33b7gqbpQqGQzWYjkQjWq8PhsJqbhZUi - 3tSFu6BpemlpqVAoVB+/qc24XC7DG1FzxQrW19cd/x977x0kR3knfndP7J6cw+7sbNBGpZVQQBJC - IJLBSMY4AGUwcC5zvjNO5zrDna/q7Cv/yulslw2+ow777KNsY2w4CoPAEkEgZBuQsCSE0mpz0uzk - nLp7pt8/vrfP28zsjmZ38szz+UO1mul5+umnu5/n+WaFYskCWqCzAPu/sDhZiZCLRelRXt+yNFsW - eJ5Pp9Ow+ySwaxOmBGKxmEwmq3N7VDWB+QQkt/Hx8UgkEggEIC8GOCpDQQexWMxxHCw6LMtSFAX+ - yZBFMpPJgHtzJpNBRRaz2SyF99jVAAAgAElEQVTLsqdOnYIWYJWEVQx+SBAEOAyDOlUqlcLPc7qH - /kVzLEmSoVAoEAhUf7hWBIyYXq+nKCpnRoU1JRKJPPLII4cPH4aqXTzPu91u2CTAmiIWi1mWTSaT - DMPIZDK3251MJgcGBqxWKyGo+Lhu3Tqh94FKpUJCOEEQVqu1bkOgG24yr89hzAdtw6omN5ay4Vkd - FEVBHsQVBQAWIJPJRCIRjUbTxC4A+UBkIuxvGyvtPwZoMDG7SEBOZlkW9gdKpbKrq6twZDVsL8xm - c2dnp8/nu3TpEuxCenp6rFZrKBRyOp3PP/98NBqNxWIkSdpsNq1Wm0gkkslkNBpVq9VisTgcDstk - MrAkmM1mMAjzPB+LxRiGoWlaqVRCJkylUrlc4NwqIEkyGo2CqFOgohVJkhqNBjpQlvOiZmH6AymL - Zdm5ubloNApazCVnQ9hhjI6Onjx58vrrrx8YGFiRhTmdTvv9fr1eD7sT2PmJRCJwaBQeyfM8uAWq - VCq5XC48i9/vVygUkJJ0RRdLEERHRwcyKeesH9C4SqW6bMGzVSAWiyECv94AT4d6qEmDaWhSqRR+ - inKAaeSuu+7q7OwcHR2dmpoKh8MMwxgMhq1bt7rd7qmpKZqmg8Gg1WqVy+Uul2vDhg1isTgYDHZ0 - dOh0Or/ff+bMGYPB4PF4YrHYpk2bOjo6QqHQ1NSU3+8Ph8Mul4uiKHBiCofDkUjEZDJJJBKPx0Mu - ZtnQ6/XT09MulwstW6Qg72bOWqZWqxUKRSKRiEQi1R+xIgFfpNnZ2WAwaDQakVQM1+L1er/zne+8 - /PLLW7duveWWWxwOh0QiefTRR999912WZWHjK5fLGYaZmZmJxWIwYvkzIcdxsA9BZj1QZDRW8TNM - uYCny+/3gwNgFR4AeH89Ho9arVar1VXzPYZ3CukES79SjuMSiYRKpWoR92kYse7ubqPRODc353a7 - sZjdiDSnmA3LP0xhPM/v3r37C1/4Qk9PT4H3HFT+NE2r1epUKhWPx8ELF6SpdDqtVqv37dvn8/mC - waBYLO7s7NTpdCCyRiIRmLyi0ahUKo1EIgqFwmw2g2MeQRBIzKZpGgovgS20XBFr4O+dSqUKZH0D - y7zZbA4Gg0uafFcHz/MQpP3YY4+dOnUKzP5KpbKwpxDcmu7uboPB0NbWhu5LkRMxOOChU4RCIZIk - tVptd3c3ZDjLOVGO7wD8rdVqVx041N7eXsAfgSTJwukDSiFfE1EnGzW0Y6iT/mAaEb1ej8XsJdm4 - cePQ0FAikQiHw6BBpijKbDaDT5BEIoG81mKxOJFIQGqMdDoN6sVUKhUIBORyOUQIm0wmlUrFMAx4 - oDAM43K5FAoFKIsjkUgsFtPr9RKJxO12gz0KrOXf/OY3PR4P8cHqEjKZTKPRCBM7KxSKdevWXXPN - NS+//PKRI0eQf2y9AZcWiUTi8TjklSAXc8jJZLLTp08fO3Zs9+7dDz30EBTNDgaDUPxC2AJBEOBP - zvP8hg0bbDbb6dOnp6amdDodsaiDPnnyZDKZ3LhxI7E4T+asR3jObB3IxVRt4B9RBWs2tA+12ar/ - pLndbo7j2traSj87Ml+1yPsCz0YkEolGo+Aq2yIX3mQ0m5gNcrVcLu/u7tZoNMFgMJPJ7Nix48or - r4Rlr5gWCIFuXvhYb9q0SXjkkuHBwv8W0355qdVLyPP8kSNHLl68GIlEtFrtmjVrCitNoZ92u91u - t6/idJBrDf0XLNiQIB2ZrBEQo5izlQH16qo39DkJAsi8NHuVWzvrcJ4FS1dPT89KXQMwmBwaq0hv - NQGFplar1Wq1wtmGoiiDwQB/5/gEIWUfTdPt7e2oHVKQEhKaWrNmDZG36vE8PzAwAMefPXv2Jz/5 - yYULF0iSlEgkkBQNBOyenp59+/atX78epSMB+d9isdA0ff78+TJqdcsLXFo8Hne5XKgCKIyzxWIR - i8WQQITjOI/HwzDM008//fbbb4MQDguKWq0G/QK403d0dOzateuZZ5554403NBqNyWTKZrN//etf - H330UbVa/U//9E/weOevjGUPIsPUOW63u2rWbOCyEZcVgi9YnX5FkCRZ/znky47X600mkw0XviGk - NSPqEc0mZhMEAXEg7e3tKMAPhZwtqQ3K31ss+e1y5yr+4OKPWTW1ehVh7wUDCEW/ivTqQbW7Szm7 - UqlE0eb5TS3ZfjqdDofDGo0mPyrvsuQkWYE/AoGAMDNQ6wAqBolEsnXr1pdffrmVJ1MMpnLAi1Z4 - wyrMc1bMYct9ImwHsjmePHny5MmT2WzWZrM5nU6LxbJ27dqhoaHu7u62tjaLxZITTg/7KpQgvd6A - aUoikdA0/frrr4+OjioUCrhqjuM0Gs1XvvKVbdu2bdu27eDBg++//35/f38oFDpz5gxkoESL3dDQ - kM1mO3PmzLFjx6666iqTyfS3f/u38Xj8mWeeOXDgwI4dOziO+9Of/pTNZj/+8Y+vWbPG5XLJZLIc - d3FQELeIHywGOY1zHNfcyyVcnc1mK5fTONHyAlsjAplBoPxtrftSG5pQzIaXMBQK5UQpQ8KqJcVs - eHWLeXuXtHLnH1NDq3LhA/LtrpXoQ/GnyBFZ878tciThyFAolEqlijm7SCSSy+Wrc8JZUjUArRFV - tzbzPA+11mrreSgs54vBYCpBTd5xOOmNN96YTqcTiURfX5/T6VSpVEajEVWpyJ/zIS0opAitcoeL - AYZx8+bNd95559NPPx0Oh6VSqcPhoCiKZVm1Wq1SqTQazRe/+EWKol599dU33nijs7Pzvvvus1gs - 2WwW3L8JgjAajTt27PjVr371zW9+84EHHrj77rstFsuDDz7Y0dHx/PPPHzx4UCqVbtiw4eMf//g1 - 11yj0+koitqxY4dOp9NqtcTi2Dqdzk9/+tPC4KmaUz89aVYSiUSjFCQvkfI6KLXgk9noQSXpdDoY - DEKURENfyKopv5hd82UVJC6UCJq8XLwov1jgpJgnoFzHVIiadA8G3Gq1UhQVDoeFH172t4FAQKlU - FnBnKrIdfrHOFkp7XhipVJoTZVcMcJZgMCiTyZD9AfaXkPNsRa2VDvTHbrfXMC8aXH4oFDp48CD4 - XmJhG4NpGsjFbNh33303eCoh3SiyrudvBMGDrG43iNArvV5///3333zzzQzDUBSlUqnQngGWpP7+ - /n/5l3+5//774/G4wWBwOBw0TeeoWT/zmc8MDQ2Njo5u374dqpG3t7d/9rOf3b9///z8vEQi6ejo - MJlMUNeDoqgHH3xQIpHo9Xpk26Fp+iMf+YhYLK65tQf5o4HeFs/klaN1xrawKQVTDA09enK53Gg0 - tqyMTVRCzE4mk6lUqobCNhKzlywumn9kJBLxer1WqxUi2Vb9KFx2NlnFdNMoHjI8zyM5E6q2LllD - Kx/Y1uRfJr9YJhoi7S8bRB2LxSiKMplMRZYCWt2ool1IfihdrQxNBEHMzs7G43Gi1is31FcnGnxJ - wGAw+cA8TJJkzspeQDJsCEFCoVCguHRyqWoXOp1OmNWFzytNZDabP/KRjwg/ARfZjo4Oh8NBCDzI - kMIif4asE9d65OXrcDhmZ2cLVC3BrBp4fq688kpQyjR9XqvmvrqKQgrq2ixZPrYhqHIZuTpEUl5V - E8/zkHwbsonUEOF1getaMSJrKeMAfrPLZUHkeZ7juBVlOoGkLPWg5L4sJEnG43FYlaGOKMdxxWwd - ctL55JBOp8H5MH8pEpYhJUkykUiIRKJUKpXjiFUJPQWYwfP1AjXZVpIkCVXcahiWz/O8Tqfr6urC - KdAwmKa03iDn8PpfjFYKUhwII9KRKV6oVlhSDhfa9pHrnDCKPj8kbTm1ciWubkWg2CuPx1PzLVyz - wi/m54enq/leqGKokwe+ITCZTBqNpqGzJLb47RZls1mO48q1RydJUqPRQFHosjRYSk/QvxcvXvT5 - fPnXCN9qNJrOzk6VSlXKc8DzfCAQCAaDBRTAbrc7GAwWb+dPpVLBYPCyhfJqJeDldKCjowPueywW - m5ubg+yyBToGX8VisUAgwDBMzpHkYqbc5cpuQV10NJh6vZ6iKLVaLfTcBt1K2Qen3tTPtZ1/kUsI - GNUbwoTV6NT8lccUoFlvTVkcdurt0SUXS3/ngK4058P8QYBP8tevJVsjlhnG+llQeJ7XarV2u73m - W7hmBR6VY8eOxWKx1qlNJYRl2UQigePLLguMz8jIyMLCQv4muYFowYdciCgUCoFwWK5bKJVK68EL - X7iYWa3WAlK0SCRCyT9XB0R3/+IXv/jxj388OTmZM33A3wzDPPLII88//3w0Gr3sVgNaeOutt/7z - P//zwoULBY6HU9c8Hp5YdIUSiUQcx4XD4cumIoPbIZVKC6QiA28TjuOEFwijMT097Xa7Q6EQqCFA - urZYLEqlkiRJ8F9gWbYSZt56m+xq3h+e59PpNNTgrYf+ND3l1Y1iygXckWg02uj1VzArpSZBQ2UH - 9AU0TdtsNlxar6JACrQmeGZWAcuyUGq31h1pDCKRSJGZfTH1iUipVKrV6jIq1Wquo4ILgbxQ0BmT - yQTS15LHl6hfh9+6XK6XXnrp2WefnZmZWbI/UPIUpapC7mfZRfg8RkZGXnvttfn5+Zxvc3oOL2EN - hx12GPPz87C5XJHCgqIojUaz5IoOV5dKpbxeb/4sAylq4vE4KPkikQhkX4OBHRsbm52dvXDhwtmz - Z2OxWEmXl0eTLY1lSRIO1psWj8CpAkiQ8/v96XQaL711BcwMDMO0SA7hFQGDE41GofAhpm5hWRYt - uDk6bkzpwAZp8+bNKpWqNUVNuVxuMpla05K/CiBqsta9wKweEUVRNE2X8S7WyQMBWUNhhShcVqRE - PTS/WAUxmUwyDJPJZJYzzH7uc5+75ZZb1Go1krE5jstkMvAHswjK3ZpZhGVZ9K3Qdp3NZpPJ5BNP - PHHkyJFoNFqr5RCENHAa53leLBZD5ZJiRrXAMXBf5HK5wWCA7DvCn7S3t8vlckh7m81mFQqFSqVC - EXEURcnlcq1W6/V6I5EIlkYKkE6nyyuw4bWzcsDYKhQKnU4H0X217hEmF51OV2IIUvMBOjiRSHTk - yJHx8fFadwezNCgCaGpqClyTzp075/P5qvkwN/1iDYPpdDrlcjnRAtebj1gsLnJ/iCGaxVOmlWnC - 8BtYKmZmZuLxuEgkArm30o8psuYVsJmDWIhkbAhQ8Xq90WgUKnwoFIpUKqXRaMBUGwwGOY4DBxuQ - oqVSqclkomlaKpVms1mv13vy5Mnf/e53u3btMpvN/f39NcxBBUZp8DoDdQNfjrQHYrEYXADAURal - QyNJUqfTJZPJsbExqJhCLCo7eJ5vb2+3WCxOp9NgMEBx1zJcYdMBC/z58+clEklvby9N06sbKJIk - VSqVyWQqUJgNU0bqJC8xZkmwt20OLMtqtdru7m69Xt/b22swGMqyNGAqAc/zKpXKbrefO3eOYZhg - MJhIJKp2v8CFrbmfjea+uiJp+rtcRoxGY3lNoQ1NIz45TShmAxD6QlR+UoP2e3t7bTabz+db0qQM - i8eLL75oMBh27NihVqs5jovH488888wzzzzjdrspilq/fn1XV9fU1NTtt99+/fXXUxTl8Xi8Xu/R - o0ePHDkyNjaWTqflcvmtt966b98+h8ORyWR+9atf/fa3vx0fH5+bmzt58uTDDz98/fXX18TGBQZ5 - +Fer1dpsNhBuy9ITeK/i8Xg8HtdqtaAH5XleJpNFo9Hx8XGVSmU0GsH0DX7LUqkUtB46na7h3skq - o1Kp5HJ5KR5cPM8nk0kcm43BYJaEX0zHvXbtWgJLGvUKLKxyuVyn00EKtPb2dij6XZ0OJBKJQCDQ - xJHhJEn29fVhPSmeAYonGo02dP6z8tKINfCaVswGT2NiUcSt3DMKK5NarQZHwXwxG6TEaDT629/+ - ViKRWK3W9evXp1Kpp5566r/+67+cTud1110nEolGRkYOHz68sLDAsmx/fz/MxYlE4sknnxwcHNyx - Y4dKpXrrrbceeeSRS5cu/d3f/Z3NZuvt7V2/fr3b7RaLxQMDAzabrYbPH6zEEokknU57vd5EIkHT - dFk0T9ACRVFSqRQpEcjFLPHXXnstuIsTBHHhwgWI0AaZH8ABw8sBY7hmzZrSHxuO46CKW5m6hsFg - mgSJRJJIJKampgKBgN1ub6wdUmsCzmgEQVgsFplMVoW6U7BVeP/998fHx/ft29estSEb0RaHqRXk - Yh17jUaDM/8DgUBAp9M1lhquCe8cPJqbNm3S6/XVCQNDfuDLHQB+1FDfOJlMikSiN9988xe/+IXV - an344Yc3bdokEokmJye/9rWveTwehUIBz9DAwIBKpdJoNF/60pduvPFGmqbPnDnz4IMPPvfcc3v3 - 7m1ra7v11luHh4cnJyd7enr+4R/+obe3t+avIkmSLMuGw2EI9y3jigIZ7PM/NJvNBEFAaIDZbAbd - ysTEhM/n6+vrK9fZ65kSB7kYNcRlbyXyXMA6VwwGIwRCtyCSqNZ9wRQLzOejo6Ner7ezs7M6J12z - Zk1bW1tzBx9NT08XKPuKweQA2XPw5AkgDWAD0YTu/rA8aLVamUyGEvNW1OkCecQJ/5uDWCwGYyxU - qHr++eenpqb27t27bt06hUIhl8uHhobuuOOOtrY2nU4H0cg0TYvF4ltuueXqq6+GjG5XXHFFT09P - MplMJBIkSUKotlwuD4VCLMvWj9kWItXL2+aSLgk5H0L6ZYIgINC94d7GmnDZUYLEcisaTKywx2Cq - Rn1OdDkLIk7k04jA3qkKJ4Jnw2w2O53OeqgIWyEgbgJtTTGYAsBD4vF4YrEYTvgPGI3GxjJlE00p - ZgOQXRwkz/Pnz3s8nirMa2C1XvJEGo0G4oehkrPX66Vpuq+vT61Wo3pdN9xwg91uP3HixPz8PFwC - QRCQtZtYFClNJhNFUSKRCP4Licf9fn8sFquob3x5KaafOccsuUtDH8K/FosFNBRms1mtVjfKaJRI - gcR7xSD87ZJKU47jit9sRSKRZDK56s5gMJjmoEWm3+YGspxU7XQNtIdZHTzPT05OtmzFbMxK4Xle - o9HgxOyIRtTBNa2YLcRqtVa0wgqsDXK5PBwOT0xMxONx4oMx4SANgkhMLqJSqZRKJUEQIpEIgmOV - SqVEIgmHwyCoQIdB7EHRs3q9XiqVovTpIGI5HA6DwUCSZOHSZZelOsW3i7GOrtSCCoNjtVpBJRGL - xVqhOis8YBs3btTr9SUai2Cog8Eg6GuEHwYCgddff31ubq6YPRB0o7m3ShhM/ZDNZsPhMGQfrDdo - moY4JmyKaVCsVqvRaKyapN0KLg9ut5vjuEgkgt2AMYWB966vr6+9vR1L2kAj7i1bQswGp4vK3Z50 - Og0+25lMJhQKpVKp7AeBU8OGA5JymUymWCx26dKldDoNpao4jnO73alUSiqVCt2/c1zvlEqlSCRC - bULQLAg2JEnGYjGoaLW6C4HMYSWORjFnCYVChWs1syzr8/mKvxY4LJlMwtIFNcxrMitVcxZAWWrA - hl8KSIkjjItDeeZQvNxlhxTylpfYGQwGUyQ8z7/77rvT09N1tf8AvfCGDRusVmvTmyibm1YQfasJ - FPWYnJxMpVJ4bDEFgF39wsJCIBDA8fxAI74vLSFmx+PxVcQXFdgcCM19PM+Pjo56PB6IuwaTMhiu - c36CTNkikejmm2/WarWnTp1yuVwg3MZisZdeesnlcqHHSOgOjf6IxWKRSCQUCqFyZTKZzGg0ymQy - juPAmr26PU02m52fn49Go1XYEl3WuMFx3MLCAvILKLJZVMVNq9VCnvMS+7k6qnZeeCSQcqF0hKXd - ETRNb9261WazFTPBIWeNsvQHg8EURiQSbdiwwW6317ojuZAkCe6OWMxuXLxebyAQwM4IZcfhcMjl - cvxqYAoAz8bc3Fw4HK6ODQxTCaqdmBqmlar5IMHy0NvbazKZVrr1R13N+SFacpAYbLFYFArFFVdc - 8fLLL/v9/pmZmWg0mkgkQOKVSqVOp1Oj0dhstoWFBbDi3nTTTc8///yBAwcUCsWtt96q0WjeeOON - J5544tKlS+3t7ehcwrODZquzs1Mikfh8vnQ6LZFIlErl4OBgMBgcGxtTqVSQ+G3Vw5tKpaqgM5NI - JAaDoXARKblc3tPTAx7gxdw4GJzR0dFIJEJUPaJMSDV3JDAyp06dCgQCWq229FmYXyajePHZ9aan - p/1+f4vkeMdgag5Jklartda9yAXmovHxcb/fTyx6P2IaDoZhYH+PNaflAt6FCxcuQNEZPLCYwhgM - Bpqm8RTauNRGzK7arA2lRObn5yORSPEnhSPBBq5Wq3Pse7DqwIcoJJggCKPRqNFoXnzxxfPnz8tk - Mo/HAxK1yWT61re+dc0114AMDLK3QqH4/Oc/Hw6Hn3322RdeeAH6qdVqdTodIUjNqlAo9Hq9TCZD - Z1epVG1tbV1dXSCCSiSSDRs2PPbYY9/4xjduu+22e+65x+FwrG54SZLs7u6uQoIBCCkvfIxYLFar - 1Stqlud5ZM2uTpC5EHgY4vH42NhYMBi0WCxEVfxbSJIMBoOFPfBX1NqSnxf/RMlksobLA4nBYMoL - TEczMzORSARvEBuXEvNrYvKx2+1isdjtdlcnhTum0YFMQ9iU3bhUT8yGnXokEkmlUjqdTi6XV2f6 - JkkSkoohn+1ifkIQhFgshiiaHHvypUuXIpFIZ2enRqMhF2sFkyTpdDpvvvnmS5cugce42WwG4dlg - MOj1eoIgbr311iuvvHLt2rXwqw0bNnznO98ZHR1955135ufnr7766sHBwa997WuoGzt27PjsZz97 - 5ZVXQrArKD537drlcDh6e3uRMLN//36VSvXHP/4RbNGrVmGQJAmi++pY0UmL6eRKL4QkSbVaDSqJ - mkxJPM9DUu4qZ1+DnVA6na5c/tLim7VarZDjHW/OMJgWp8SUnJiag29f2fH7/dlsFvaWte4LpgFI - JpPYY7yhqbY1OxaLRaNRpVJZzTxJYrF4FQp1mqahdJbwQ57nWZadnJzU6/VgbiUXa0SvW7fO6XSi - FNkSiQRylUkkEkgftX37dqFYfurUqVgstn379j179iSTSa1We/LkSYZhoER2Npvt6+uDGpLCPjgc - DofDIeySyWT65Cc/uXfv3kwmo9PpSjEdlCKiI31EMQtz8cqOIoGr3rhxI2Rcn5iY8Pl8vb29xbdQ - CmCfhz4g1WPVFlFYsBmGYVm25nHRS1o/0AqBNxYYTCsAE5HJZKJpGvKA1rpHGExdkEgksMiEKR4c - WdDoVE/MhgfFbDYbDIaqmbIR4FFMUVTx4v2SEgtYrfV6PVQIEx4AqcjyJ1BhDjOQvrLZrEgkev31 - 15955plPfepT1113nVQqnZ+f/+lPf+p2uz/ykY90dnbCr/J7my+xwCdQlLvISytwyav+FTi31zDr - GEmSXq8XkpN7PJ5IJFI1dwmCIIaHh1Fd9GruKXme1+v1crlcoVBASEJtJ+Ul3fV5ns9kMtj/EINp - KfR6PUVR1Q/hwZQRfO/KS1tbW/G5TjAYsOThvVPjUn4xWyhj5D8ZtSr2Q5JkNBoViUSldACJvks2 - slzSSJTyLUdC7u/vVyqVjz/++BNPPAF270Qi8eEPf/iOO+4wm83kYvHhnDFEsnrOJ+ASX5MoOOhP - Op2ueR1I5IjV1tam0+mqY1IGbYtCoRCG61cNkiRDoRDDMIFAIBQK1cNcnB9nEY/H4/G4VqvFtR8x - mFYAXvy5ubloNArOWbXuEWaVYDG7vJRSchXTUsBmCQx7c3Nzte4OZpVUxJqdM4kIN9ZLio6VIF/a - NxqNULm6RJa7hMuKWEKzNkEQt9xyi9PpPHny5LFjx1KplNPpHB4evuqqq9ra2sgPlvJarh3hJzXU - j0J/urq6ICh3panmytgThUIB41DNmEAwIJ84ccLj8dRkN5lKpTKZTDweh/W7tnKs3++PxWLov0gz - hTId1K5rGAymevA8H4/HIZKl1n3BrBJccarshMNhrHXCFAOY0BwOh8lkqkJyYkyFyBU7S9+mJxKJ - dDqdzWaVSqVMJss3xpbSePEIHdXA46JcnuqokeJzqi3ZgkKh2LZt27Zt2+688040XETDKo91Ot1K - /QM5jhOJRGX0h0Grl9ls1mg0VZM5eZ6PRCLlTRya4/tQQD8FA5hMJsPhMMuytXIYASYmJjweT04/ - ZTKZMFv+ctRcR4DBYMqIVquVy+U4NrtBkUgk6XT64sWL27Ztw45I5QIn3scUCXIUBV/RBhUNMB94 - 4bPZbCkZ7UDxeebMmfn5+XQ6vWHDBqvVWv2pGfp/7ty5UCgEn0Dd7LKfBdJ6l9ICCKVarRYFNoPo - XsZ+VgEYhGPHjrndbjBaXjYKF34SCAQikUhZIvfgdAaDAXYDmUwmk8lUcyRXl2ZvSWA0OI5LpVJo - e8qyLFitl/sVx3E1dNpHd5BhmNV1A1rACwkG0wSAAtputyuVSrxBbFDEYnEmk7l48SL4SeGbWCLw - Umzbtk0ul2PfLkyRzM/P+3w+lmVr3RHMKslNo12Kty3MwlDQiOd5jUYjNKytbo7OLlL8z1HFzkQi - AZ84HA5UfKtccBwHRUELdAxWJuElCA9GodToAKIxNZ0wsJOTk7FYjOM4uVxuNpsVCkUBUz98rtVq - FQqFSCSCUSqlDzCwCwsLsCEIBALo7lcBkiRBBhaJRBRFleLewy8SCARGR0fhAeN53u/3j4+PR6NR - 4VMEfzscDogMr21iFejVqn0TeJ4Ph8O4lCgG0wTAbDA/Px+LxUDxWuseYVYM7EYYhsECdlmAYWxv - b5dIJAaDAdVkxWCWg+f5trY2s9lcWy9FTCl8wGl8fn5+ZGSkv7+/o6Nj1cmcotEo6F2EEjvIUSvd - ggvFfpFIhGykxTSSI9BWQnF4WSV9jncAyNVwFcI47UZXaoJnCySQ53lepVJ1dnZCJvbCP0RlusPh - sEwmo2m6xKH461//6ss2rxwAACAASURBVPP5RCIRJI0opanigT7Pz88nEgmapjdu3GixWEoRszmO - k0gkarVaLBZDKTiSJLVaLQwRkff8wxPV1dXV3d1djG92eYG7D6XpCYKw2WxarTa/k/m/IgT+8OD0 - /s477/T393d1dTX6G4HBYCoRSoOpJuARptfry5LUBkMQBM/z7733HsMwoVAI2ycxhYGNkFKpVCqV - TSAptCz/N3uCr/LExMSLL74oEonsdvsqNG3wEJw6dSoYDOaEkmaz2XQ6DZmQim8wm83Oz89nMhmN - RqNWq1cnbxOVSeMhkUi6u7sLeP5ks9lMJgOV5dFPaJrmeR4cjJvmnYEL8fv9qVSKIAiJRJIfk78c - IGJxHFcWcweMNkVRELBQeoPFACr/ubm5RCIhFoshRp1YeRoCJG36fD6DwaBWq0HGBhQKhfC/hGDo - pqam4vH42rVrjUZjOS6oUA/hpDlJDUmSfP/99wOBgEKh2L9//7p164ppKicjoEwm27hxY9m9TjAY - TE0gP1iHBr/XDQfLsjRNb9u2rbBvGqZIYAz7+vokEokwIgyDWQ5+sQZwrTuCWT3/J2bD+w/CicVi - KcXrNRqNIsESYBgmGo2OjY11d3cXKfygOkDf/e53Jycnt27d+qEPfcjpdCqVSmE6axQQW7i3lVgh - IKdagQNSqdS5c+eOHDkCZZZAWbB169aenh6r1QpmyUZHKHQlk0kIyl2RRoNcrLld4j2CnoDJl2EY - t9sdi8WqKbOhctmlZDcgCCKTySQSCY1GU0yCPY7jUOazeDwOwRqVu+Ql08hDP+PxOMdx6XQ6Fouh - nAXL9YTn+VgsllMYTyqV2u32CvUcg8FUGZR8hCCI8qa6xFQamOrFYjHHcW63Gxysytt+Cz4M8C7I - 5XJ4F1pwBDCrA0dtNDT/v5hNEITRaCzdIJaT/gokTJA9ltymLwkcQ1HUFVdccezYsf/+7/9+/vnn - u7u7169fv2fPnjVr1mg0GpVKhYpFr9S+XRaWuxbo1cmTJ//f//t/p06dEibHoihqaGjo85///J49 - e4SpO3Nc3Jc7XeEDqo+wSzkJulbUyfJG7slkMqvVWozXehmBVbOU3QNyEOru7i6mBDfUykZDx7Js - 5VKgIbVXJBLR6XRg3ICv4NVbu3atTqeTSqVGo7EY5/9UKpW/b2vNvRcG03zAkjcyMgLOsVqttrOz - E8JJ8JaxnhEqR2DrMjo6mkwmISKsXPMzFBlpwYh9nucPHz6cSCRQxBwGUwDYVda6F5iS+MBOd0mn - 0JWS41DK87xcLjcYDFqtViKRFPPEoIhNqVR6//33WyyWb37zm2fPnj137tzRo0d///vf9/T0bNy4 - ccOGDZs2bTKZTDqdDrbsMHGDlFuFR7OwPDw3Nzc1NWU2mz/zmc84HA6CIOLx+IEDB44ePZpKpXQ6 - 3datW2EvAuG4yAAIjuhCf3v4A8LU4RrrIVMa5L6GjF8EQXg8nnQ6DV8Vr09Bx5doyoYBOX36dCgU - 4jiucVXFYrG4yM0HwzCJRCIQCCwsLCQSiYpeMrQskUimpqba2tqcTmeObcrv94MtvRjvJpIk9Xp9 - /mU26C3DYDA5wLvscrni8TjsAaxWq0wmK1c8KlJrXnahR9uJspy3mo1XjpwNElIQw0qaTCYXFhZY - lmUYpru7+4YbblCr1eVyRoAT+f1+mqbVanXjrtSrBl6BetjCYeofnucZhsFO4w3NB8Tsskx5RqMR - KlTDEogESJCEhassnA49QOAETgiWLhCYb7311qNHj7pcLp/PFw6Hw+Hw+Pj4sWPHlErlwMBAX1/f - 3r17N27cqNVqDQYDZE0jKhOPXTzkImazeffu3Vu2bIHP9+zZ87nPfe6dd9557733hoeHZTIZ9Jbj - OBgo0ERIJBJY1VASNZIk4Rio+1UP0d2ZTCYej0skEtALoBvNMAykjM4J7y/c21JuFvw2k8n4fL5U - KsWy7NTUVCgUglV81c2uiHKdqLC7tRCKotrb2wOBQNUyqVAU1d/fD293jiMGjLxIJJLJZMK3eDlw - klUMpnUA32O32w0rRfE/XM69DlbAYiJ04IzpdBrmJQhukslkUqk0m80yDAMTGsMwFEXBYZDcNJvN - KhSKTCYDAUGZTAZ+QpIkRVHQJk3T5SoojfpJURQKhUun05CnHToGhTzgSKiFSRCETqdLp9OQgTKH - /NGDK4Whk8lkIpEI/gtOSfF4XCwWnz9/fnJyEm5Tb29vf3//ZSt0rugyCYKA0hgtKGMTBKHT6UQi - 0eDgoEKhwA5cmALA43Hp0qWFhQWcS7JxKX8CSZi7kZGT53lwJ4aZOpVKoWAtsVgsl8sZhoGKEQqF - ApYQYVQqrG233Xbb4cOHfT4f8gyPRCLhcNjlcr399tsvv/yy0+ns7e29/fbbe3t7dTqdTqdDq2+t - hG1IAwYqYYZhQO/gdDqHh4ffe+89GAee55PJ5NzcHOSNY1lWp9Nt3Lixt7cXfJ5jsVgoFBKLxbFY - 7OzZsy6Xa3BwEMqA5yTEIhad1as2a8tkMoPBADI2Wi0kEkkkEhkZGYE8XoRA3Kqo+hY60NHRoVKp - OI5ra2urstM47I1Kd9suvs9SqVQqlfb390NBrypcLKiNcnYG8MgNDg5qtdqFhQWVSlWMCI23FxhM - 0yNaJBKJPP7448eOHSNJMhqNwlJVTAv79+/P/zCbzSqVSpqmI5EIy7IFmgKfOJ/P53K5IFvv6Oho - PB5vb283GAyxWMzr9TocDqlU6na7u7q65ufnKYpKpVLpdDqVSg0MDEQikVAolEwmY7GY0WiEaJeu - ri6/3z8/P9/X19fb2ysWi0uf0MRisdfrXVhYgDodoEyfnZ3VaDQajWZ+fl6hUMRisba2NolEEggE - 3n77bdg47dmzZ35+fnx8PL8DOaMHGvyFhQWpVBqLxUwmk0qlcrlcUqkU0pqcPHlSq9W+/fbbPp+P - IAhQKCCzRxlnbI1GU66mGg6Px5PJZK6++upWHgRM8chkMthmQ1R/rbuDWTHlFLNBqnS73aAhHhsb - O3HiBEVRIyMjHo9Ho9FIpdKZmRlwLlUoFCqVym63u91un8/HMMzg4ODAwIBKpdJqtYlEApzNYJmM - RCKEwECNbMUgpk5NTU1NTR0/fvyNN94wGAw7duz48Ic/fObMmXg8XhNfC1iNNm/ebDAYQAsOhTFE - ItHCwsL09HQ2m0WFlw4dOvT4449PTk6CYiKZTNrt9ocffvjaa6+Vy+WvvPLKs88+S9P09PS02+2G - xgcHB//+7/9+586dOTnYQPNdNTshmC7hb5ZlhVb3hYWFubm5HJnTZDJVtD/gxA4KHbVaDSqbnGMq - Id1BmzRNSySSykVHL3decHyo8knzP3e73ZBnvkiwjI3BND3wmoMZeWRkZHp6GvltFdnCX//61/wP - QVwE6y5MuUj/jg5AB4PBFmy2JEkmEgmGYRQKBXiwp1IppVIpEokSiYRKpQKjMeS5gKylmUwGlhWw - JMNSrlQqGYZJJpMajUZozSaXj1ZDX+XnWEF6alDKI2s2sbimQ2JqiUQCdTQIgmBZFsKjCII4fvw4 - 5J7M3+3kjB74hIPSHwwYYrEY/gv7+Gg0ChJ4JpNBLnV4ri4LMPjZbPb8+fMsyw4PD5dewRTT3MC0 - 4PV6IbH0zp07Ia0vfmwai7KJ2bB4LCwsnD59Oh6PEwTx3HPPvfbaa2hhAy8vVMYAYlDlcnk6nQan - LChZRFFUZ2en1+sNBoPE4tzEsqzP5xMaqJG8jcKbU6nUxMTE5OTk2NjYgQMHotEo1BWrvjUbXgOo - I72wsDA7O9vW1sZxXCwW+81vfvPuu+8ODg6uXbsWNBE///nPQ6HQpz/96a1bt6rV6tHR0UceeeRX - v/pVZ2fn0NDQ2bNn33jjDYZhrrnmmjvuuKO7u/vNN9986qmnfvazn1mt1oGBAfTK8Tx/8eJFu91u - Npur9hKiGOzZ2dnZ2dlEIgHudq+88orL5YKc8NAZkUi0b9++JccK/oAViFit9wGYI958881wOKxU - KjUaDagAhEMhfGxWcYrlgNZQ2pjqgELppFIpRVFVyyWzpOaCIAiv15tMJle6AKzudlTiJmIwmDIC - L+m6devMZjOIqRKJBJVCKP7l9Xg8SzaeM9mKRCLhJyhbBzoSld4E7XAymYRdilQqRdb1aDSKwtzg - 58FgEInH4KwE83woFIJ2EokE/EooRUNncpYeIm9py7ESo35Cg8RiGB3I0ugCUSPgJkAQBGyN0H8v - O3rI9g6O8fBfWHzhHolEIqlUyvO8Uqm88cYb8c6+XIAb5uzsLAx7rbuDaQwCgQDMnJDeotbdwayY - MjuNK5VKs9kMOuBsNhsOh9FXoB4WZngC2ZhYDNuGfE7ZbHZsbAykNbRyQAxV/umEghM4pYOjNZy3 - to6poCSem5v70Y9+ZDQaY7FYJBKJxWJr1qz56le/um3bNpFI9OKLL46MjNx7772f+9znILB8x44d - b7755p/+9Kf333+/v78fmrr66qu//vWvDw8Pi0SinTt3Xrx4cWJiwuPx9Pf3Cy/QZDKBX1zVrhHt - Lbxer1CbPjs763a70Y0GC8Cf//znnN/m/53j6o/aX/KihLsWMAWA1wPHcRAcDnHs6Ldg06AoqkC1 - 81UA3Whra6Npujph0hCxD3qrUCgUDAbBe7AKp14OsHvwgiy1xbC6MIdsNpvNZst7EzEYTNm5/fbb - L168+Morr6DsmCslGo3mf4gERaScha0FUjgyDAMbU6lUCkIjTP7EomoeuX0xDAOGcZIkdTpdMpmE - PQnk0QQpF6VWjcfj4XA4nU6DGt3pdMJmA74Vi8U0TWez2VQqBQHeyLkJiheyLIuKHRIEAQezLAtx - dslkEqpRwr9gZ4bOQ2w2WLnBgw/8SHNWySJHrzBodiUI4tprr923b59CocCuqmUBNBcOh2NmZuat - t9664oor1Go1lrcxhUkkEmUpFoupFWUTs2GuNxgMDz300K5du6LRKJqahZJSvkIXRGWJRDIyMjI6 - OgrLWzqdBs8umIPAUh0IBHJ+i/5Fybd5nqcoiqKoTCYTi8VWlG2lvCDBIxQKQRoVtVp955137t+/ - v7+/n6IonufPnj0bjUZ9Pt+xY8fQ4grBYGfOnNm7dy/Y+W+66aZ169aBJEnTdF9f3+TkZDwez5FU - 29vby3sJxegp4ACNRqPVamE3QxCEXq+naRrdEZFIZLFYxsfH4W9SkNcUlnO4fZlMBjYWsNKDkgV2 - KrCPga/Q7ipfogP/Op1OZ7FYQO2HTgTe7KlUqkLqwHg8XjWPcYZhgsGgRqMRalXqQeaMx+NjY2PB - YNBsNhfTpVAoRNN0fpaBwsD+tTXrwWAwDQG8+zab7aGHHrrvvvtA07qKdg4dOpTTbDabNRgMsD1Q - qVTxeJxl2XA4rNFoBgYGwPQ6Ozs7MTGRSqWcTidUOZmdnZ2ZmeE4TqFQKJVKq9VqsVhIkpyentbp - dCaTiSRJkIRhGvf7/RRFKRQKv98PfnY8z7/22ms///nPx8bGCIK45ZZbHnjgAbfbPTExodfrY7GY - 2Wzu6enJZDIjIyNarTYUCvn9fp7nxWLxwMBAe3u71+v1eDwgxovF4q6uLpIkfT6f2WxWKpXnz59f - s2bN+Ph4T0/P9PS00Wi8cOGCSqXq6upSqVQjIyMDAwOwbXj//fd7enpUKtVKR68wsFCmUqlgMGiz - 2WQy2b59+9ra2lpZxi6jqQYeXYlE0tPTc/z4ca/XC0r52lqDMPXP9PQ0PCpVe07S6TQoKKtzuqan - zLHZBEEMDw8PDQ2tVOlCkmQoFAqFQqAVTiaT6XQaidnT09P//M//DG7k6HiQzUASk0gkIF2bzWaH - wzE4ODg/P//GG2/4fL5qhsvmXFEmk3E4HA899NCWLVsgLZzNZlMoFCAfgmaa5/kDBw689tprsB6L - xeJUKqXRaPR6PSosLJfLhVW+aJr2+XyQSCO/+HAZge4Vftlg8YCyHxcvXlxYWMhkMlddddVtt92m - UqnAK0GtVnd2dv77v/87x3Hgzg09ZxjGbreDGYGiqGg0Ojo6StN0LBaLx+NKpVKlUgWDwUwmYzab - o9GoWq2OxWKw+wHvgJzdG1hTd+/efd1118lkMhDFicXsaxD9XnYrKCyTarUazAtViFOQSqU6nQ5s - 9QaDwWw250Tp1wqpVKrRaErvzHI7D/h8YmJibm5u/fr1VqsVb1AwmLqF53mbzWa321fdwrp16/I/ - BJdm0LfCZMuyrNBRbsuWLeDggzaLqGYHCjmGI7dv304UsX+Fs0il0gMHDoyNjZEkuX79+i1btsjl - co7jpFIpuBdBB3bt2gWrP6w+5AczaAjTvwnPu337dpIkd+/eTRDEnj17CIK44YYbIB03QRA7d+5E - x19xxRXF9Hm50SsArJgMw9A0LRaLYXfRynNsGWVgaCoajZ47d24VAVaYFgQ2k8JkDVU4I0mSbrfb - aDRWJ7FuK1B+IQ3WtlXcHovFAkYw4oOmb57nJyYmYBlD4VUglEIiE8j8OTw8vGHDho0bN1osFoPB - 8MQTT7z99ttETRcJWNQ7OzuFqx0SsAmCyGazFEXdddddBoPB4/EMDAw4HA4w5m/evFmr1aKyJWC+ - g/0BwzBms9lkMlXu3YOXLRwOgwBZ2GwIE4HT6UTG1aGhoX379tlsNuFhP/jBD6CSClw+WKThTQbp - F4wSIH5zHAemafCyo2kaKoTBdgrM5mDqz++PwWCAPDGJRCKRSGi1WkiHVnxJ6hUBt9JisdA07Xa7 - IUo5x1+9vIB3Ivpv8Qt2AQ/80rsEwYoWiwXuaTFngdIm+Z+DE0q+QgT6PzExcfz4cZPJZLFYiNbe - BbYs4OuL1e11DihhS1E7FtbZoQkN+S6hT2ATIvwE+TEJlaHkYmaN/J4TAikLJZSBR06tVsMSg5pF - dVWQYlo4ffGL1UkJwXQNB6NOCn2/4Q9YRJCsjrqxXJ9XOnpCwFns+PHj4C6HxqrFZ9dYLAYlS8oy - DiRJplIpr9cLOiDsAIwpBr/fXzVjITznJpNpyRTCmNVRKVtoiSm+YdWBNN1TU1O//OUv5+bmpFIp - yvOpUCjsdvuuXbv6+/vb29t7e3ttNhskM89Zq2oFTM0gVUJ8F7kIOsZsNtM0vX79+o9+9KMEQdA0 - LZVKjx8/fvz48aGhIZFI1NbWRlHUxMQEOOLCWghFsxKJREVfA57nPR4PuAkUrtENu4qRkZFwOIzU - BzzPw81CW4ocqTu/QZlMhvQsOYEGy9k2l+sSHC+Xy0EmF2ptKjRo0CyYc/Ozr1UCGBYo+VZkTUWW - ZctuzIdbvG7dOp1ONz4+DloGZG4q/Nt8rQf8KhQKkSSp1Wpzegvn2r59++DgIKT6wytBC5LNZv1+ - v0qlUqvVte4L5jKUqApZciOR89Yj4TNHgkVTELmYNkLYAoppKtBJodkZFLWwmrS1tXV1dYEQK5zo - 4DC0MKEzCk8nlPDJxYAmUhBIRQqyqfGCXG7Cqyty6it+GwaL9XPPPbd27Vqn0wkhOViNVYlkK3jZ - whQJPCp9fX1Vqx8ErDSOD1OY8ovZaGErsR1+MYX19773vSNHjvA8D2ZJq9W6bdu23bt3Dw4OdnZ2 - arVaYRA4yg6lVCrB5alWwja8IRRFyWQylJ5NeHUEQezYsePQoUOvvvpqf3//2rVrYfv4y1/+8p13 - 3unu7h4cHFy3bp1er4dQZ7RUQ8kNqOdRudePJMmOjg5isQB4gSPhToECbLljUK4a4a+E5yKWulOr - 05ig3spkMmEkdhXWNvCwqKgnPwJ2YzRNq9XqIktVV0I4gVFta2uDNw45jRcz2vmiOLkY6k8sZc1G - qtZKF4fD1DMikUin01XnLcPUlmIkvfypJl+SWU62KXJRAA+szs7Onp6ed999F2WUWLJZodRdTMeE - f+Rsn5ZsqviFrHg5GTp2xx13GAwGqHCGRUFieX8rDKYKIEVblV/GynlitiZ1ulMBsSqVSj3yyCNH - jx6lKOr666/fvn37+vXr29vbrVarTqcDU1iOehgycxIEsXXrVoPBMDk5WcNLALtcTq4yAD7Zu3fv - s88++8Ybb/j9/ptvvtnpdJ4+ffovf/nLli1benp6iMVwYkiRgn4rTKlaIaB7Req0kNc0VBBFk4LQ - vEAUseRX7sWuqB17yXNVB5IkQZVQ5KWpVKoKRXHzi7GL4JFR5K+W63YxnqIFfo5peoRBExhMdRCJ - RKBMRFk/mgZyMbFOrTtSX+Asm6UjdMrArILz58+vulLD6sA7q/JSp2I2QRAkSabT6e3bt2/ZskWt - Vg8PD3d0dKAdvNAHbEllj06nk8vlQueranaeIAiFQtHX1wdpuvK/BVOkXq//+te/3tfXd+DAgf/4 - j/+QSCSZTGbHjh1f+MIXenp6eJ4Xi8VCGRsuc/fu3S6Xa2BgoNI1jVYknaLLhGRvdWVratYSUOjx - LuZgkiQr4WSLvE5isRi56JxZ4ppaWJnaZDcRg8HUOTDHulyuixcvhsPhshf1qBOwFQtTdiAQHcf6 - rpr+/n5cLruhqSNZSAjyHb3jjjtyUokIDdcFWkB5PoUNVgdyMUz3oYceCgaDULdjycN4nl+7du1X - v/rV2267zev1ZjIZEM4tFgvoDvr6+r797W9brVYU2k0QxLZt29asWaNSqSqtIFzRoEFnWJbV6XQd - HR1lTBxSCvxies90Og3ZuSvdpSpbs6G6VQ3TEMCpx8bGoGg5UY4RQEEENX9+MBgMBuYiKMzZxJmr - 8HxbBVpnkEHh7nK5GIbp6urCSStXx+zsbA0rE2NKp07FbABSQ1/WcF0AEGVZloViHtWc4CCUq7Oz - 87LdU6lUQmctcjEPCkEQSqVy/fr1Ob8CE3cl+lw6EokklUrNz89D5a2aS0rI+10ul68uAf6KyGQy - qVQKYuYrfe3Qfjwej0QiNZ+FGYYBd4Yy7kFTqZREIqly8g8MBoPJAWKzHQ5HX1/f8ePH60GDjGlE - NBpNW1vb5ORkKzxCcIEQR9YK11shRkdHi8xxi6lP6lq3lGO7XoUmDH5y8uRJl8tVZSU0KagmUsxh - CGFdTWIxa7fwJzXxgS8SkUjEsmw0GgVPoVp35/+QyWQ0TVdBk8qybCQSqc6cCE8ORVEqlarmLvqw - iMbj8ampKUg4X/rdD4VCqVSqLN3DYDCYEpHL5QqFAsfrYlYBrNcymcxms1XBq64egGu0WCx2u70K - Ro7mA7ZVV199Nc5F0tDUtTW7XK8lKrhdZYqU6woflv9t/cRjL/fzlq0FIpfLISkAURXfsJWmQKtc - N8xmM0VRHMfNzs6GQiGDwVB6lzQaDSSob81nCYPB1BX1rODG1Dnw5LAs6/P5mjv0IAdsxy4Rh8OB - ffoamibfv4K3eX9/P1TZrXV3GoN8+3nxoKjasvaoYSBJEop1V+d0K0qBViHgjmu1WsjSwXFc6Tl4 - 4YoYholEIul0umUfJwwGg8E0AWDNFolERqOxpUy7ePleNaDXS6VSTVbXoNVocjEb7GAXLlzwer34 - bS8MjE8ikfD7/SuVbVAdr9YZ5OWutFYJyWo18nBeyDROrDx7Qk5TyJmC53mFQuF2u91ud80jzzEY - DAaDWTWQSyUWi42PjyeTyVKMGY1F6ygUKsSJEyeqXNALU16aXMwmCIIkyUAgkEgkat2RxiAej3u9 - XoiJLb5SFEEQ/f39Wq02m80uWcCs/lnpmrekA2EikZifn49Goy3lXsjzfDKZLD0FGsMwQsWtTCYz - m81VyKiPwWAwGExFIUmSYRi/38+ybK37gmkYpqam8APT0LTE/lUqlVZupw5JyxD5YkYVxK2yaEZB - WtbpdF1dXVCpe0VqSLfbnUwmqxmHU8aBzWazLMsW75mTzWYh1ZkwDT5BEJlMxuVyRaPRcnWseFKp - VDqdrr7mGO54X1+fVqslFv1HVtoN5EkRi8VQUQCSJG02m9FoxDmHMBgMBtPQgNM4riCNKRLYBV17 - 7bUKhaLWfcGsnrpOgVYWKirlZrNZFIyKYm+E5QF5nuc4rqIpwdApyiKNQEqtlXaAIAifz5dKpS5b - z7xcCB2MS2yHJMl0Oh0KhbRaLU3TxTTI8zzDMPkDpVAoBgcHTSZTddZRoZe+UDqtwqmFfSAIorOz - U6PRkCRpMplAR7OKRlQqFc/zwrg1vB3BYDAYTKNDkmQ2m9VqtUNDQzMzM6VEV2FaBFR7qHVcI5uS - 5hezCYIwGAyV0AaBgzQywWUyGbFYrNPpoE4gSJtgJiWKzjq+CliWdbvdarUaMjOX2NqqZdcqp3Nn - GIZhGIqiJBJJKeeF38pkMp1OV3zKbpFIpNfr4ZKFYw4PQNUyjaMicMRibfCyqB5WAXrgKYpaqZpG - 2EjOJzWvu47BYDAYTInAWiYWizOZDM5ohSmev/zlL8lksta9wKye5hezSZJMJpNlj20AGXt+fv4P - f/jD6dOn4/F4LBajaXrnzp179+4dGBgAu+js7Ozx48e3bt3a1dVFlFv+gYl7YWHh+9///p49e/bt - 2wfl9UBvujrDZv1LNXDVHMclk0mpVFqWktFisRhVJixGUiVJcskSC/F4/OLFi8Fg0Gw2X7aREoFx - iEaj4XCYZVmQ+WsllyJpH7qxuj7kj3z9P40YDAaDwVwWkiRDodD4+DikIMEmSkwxJBIJeFSwdqZB - aX4xmyCIeDzOMAxRPuMYCBXnz5//3ve+984771AU5XQ6FQrF7OzsyZMnDx069PWvf33nzp1SqfTC - hQtPPvmkRqPp6upCLr7CP3LahL9zBJUluy08HqJ9UJtoBq+mlFK1c8GJKIoCGbvs54UY+8u2vORN - 4TguHA6nUqnqiLs8z0ejURQlXunTFQa84MLhcDKZXN3lY6Eag8FgMM0Kx3EMw2B5CVM8VXYUxZSd - lhCzy25DJgjC5XJ997vfPXr06Kc//en9+/dbrVapVBqJRH7729/+5je/OXz48NDQkMlk2rx580MP - PbRmzRroA8dx6MdtywAAIABJREFU4FsLNYrA1RYEZpZlQTjJCfCG8G9hvDexaEuH189ut//jP/4j - TdNgP4c6e3Nzc0ajUa/XVz9YtzpLSLli0YXA+IdCoUwmo9PpChe3XPIriURiNBqLDPAuCyaTyWq1 - VvOMhQkGg6sWszEYDAaDaVZwSDZmpWi1Wtj8B4NBlmWR3yWmUWgJMbu8gJj90ksvnThx4tprr733 - 3nsHBgbQt1/+8pcnJyczmQxUujObzSCwEYt5s8RisVQqTafT2WwW5lwQuZPJJIgoCoXCaDQSBAGi - NcMw0WhUrVZTFIXOkkwmk8mkWq2WyWRSqRRePJQs4a233vr5z39+7733Xn/99Uv6NpcXkORNJhNN - 01WzqVbCXA+ticVimUy2Oju5QqFwOByQDKzSqym0L5fLKYqqt5JXeCeBwWCaDzyzYUoBK6AxxQPb - yJ07dz755JPEYprbWncKs2KwmL1iwDP2+eefz2azn/jEJ3p6esBGDbOnyWT613/9V4lEYjabIXB6 - ZGRkYGDAbren0+mDBw8ajUaz2QxZDfbv3+90OlmWnZ6ePnTo0GuvveZyubq6um6++eYbbrjBbrfL - ZLJz584dPHjwlltu2bRpE/IMf+21186cOfPRj350YGCAJMmnn35aLBZ/+MMftlgsLpfrtddeO3r0 - qNVqtdvt/f39lTZ1wlwwODhoMBiqVi+6QlcEvgBqtXp1gitJkjKZrGpCL3J/qM7pCoC60d7ertPp - 8E4Cg8E0H9X3DsM0Ezqdzul0Tk5O4gcJc1lgO+12u8EUZzQay5KKCFNl8D1bGZBa7L333pufn29r - a2tra4NiXWjG5Hm+v78fSR0vvfTSk08++bWvfc1ut09MTDz++ONut1sqlQaDwf7+/iuvvNJms735 - 5ps/+clPXC4XSZJqtdrtdv/oRz8aGRl54IEHent7R0dHX3zxxcHBweHhYWJRsjp8+PChQ4fWr18/ - NDTEsuyhQ4cg+5rRaPz1r3/97LPPBoPBp59+2uv1Pvzwwxs2bKiCDjWRSIABv6EhSVKv1696LgOH - hRaMvIKnned5SKOKdfYYDKaZgDmtr68PqxExpZDJZGrdBUwj8d5778HWOid0FNMoYDF7ZYA4MTs7 - m0gk9u7da7fbc1ZcyEBGLK7Kc3Nzs7Oz8XicIIhEIhEMBi9cuLBr1677779/eHi4v7//+PHj3//+ - 971e74MPPrh79261Wh2Px//t3/7t6aefHhwc7OjoIAgiFAp5PB6GYaBSFDQVj8dZliVJUiKRQGg3 - nPdDH/rQ+Pj4Cy+8sH379k9+8pPQQkW3BeD9fubMmUAgIBKJGl3IRIO8ChKJxOjoqN/vt9vtRIt5 - GIJ63uPxRKNRcOUgFtWxWHOPwWCagPb2dqVSWeteYBqVSCTicrkgSWo9uKFh6h+kl8EPTIOCxezV - APY6k8mkUqny5QeUuowkye7ubp1OB59AxrKurq4vfelLt9xyi0wm43n+8OHDIyMjd99992233Waz - 2QiCIEly//79DMPYbDaZTAZViBcWFmKxGPyEJEmLxUJRFCrgpFQqUYLr4eHhm2666c9//vPmzZuv - vvpqrVZbhdEgSRKsuOQH60g3IqVYYmUymdVqXfKpaBE4jhPqWZCYXcMuYTAYTFkYGxsLh8NVWFUx - TQlktK11LzCNAdgnNm3a9Ic//IFoMbNNM9HYElGtgMfd5XJFIpHCGibQfIPgAfLn8PAwBFRzHBeP - x0+dOhWJREAahyk4m83eeuutP/7xj/fs2YPc0ZGxGjCZTHK5HJ1aIpFcvHjR7XbDwZAim2XZ6rgn - gfpg48aNRqMxk8k0uk9UKXMZwzALCwvRaLRl9Y7IcA0jEA6HFxYWQAFU665hMBjMKoFpbW5uLhaL - 1bovGAymVejv7wdjG6ZBwWL2ygC5d9++fU6n89SpU/Pz85lMRihCQLpvhmGQtAmpwqFeF0EQcrlc - vIhEIoH3B8nhUOJLq9W2tbVBavElHW7Pnz8fjUbR5yRJptNphmGge/B51Tx14bomJiYikQh0AAah - CqeuLUj3gcY5k8mA30ErS5Xo2nmeT6fTiUQC9PetPCYYDIZYdAR75513nnrqqcnJSfhvrTu1Ahqu - wxgMpkEBZ8Df//73WLXX0GAxezXQNG00Gl0uFxKzs9ks1LLmOM7n8508eTIYDJIkKZfLM5nM9PR0 - OByG5RnJZjzPy+XygYEBtVqNRFOAYRi32x2Px4UrOrQPKaaW6xjIe+vXr9fr9TkG8EpDUZRYLIYO - X7hwwev1NvF2BC7N7/fHYjHhIMtksv7+fpPJVDX3njoZ5FQqleMLB1oei8WyZs0a8KLHLk8YTIsD - etiurq4NGzZAOBWeFjAYDCYf2DVt2bKllIRBmJqDxeyVAfKwXC7fvHkzwzCvvvrq1NQUwzAMw7As - y3FcMpl8+umnf/zjH4+NjRGLu4pYLAZCL8/zIyMjHo8HUoVBXmu5XD46Oup2uxmGSaVSmUzmxIkT - 3/jGN15//XWGYTo6OpRKZSwWSyaTHMeRJHnp0qVLly5ls1mxWIx6JRRjUHm9atbW6ujoUKvVcNL5 - +flQKFSFU9cWpVIJ0x8aZ5FIpFQqZTJZdfaO9eA4ANc+NTUVDofzeyISifBOGoPBCLFarUNDQzqd - rtYdwWAwmLpmcHAQnF4bPR6zZWmtFGhl3O5/6EMfevXVVw8ePKjX6z/xiU84HA6WZRmG+dOf/vSL - X/xCo9EIZWCIXgaRw+fzRaNRgiDggCuuuMLpdL7wwgtGo/FjH/uYXq9PJBI/+9nPDh8+vHv3bqhH - 3dfXd+TIkY6Ojptuukkul//mN785e/YsagFAcjVJkm63O5lMhkIhiImttJCD7JYKhQK8XJq+8AAM - KagVcua+qmUQhTsbj8cjkQjLslU4YwFMJpNCoci/6TAUWMzGYOqW6icpbILqA3XiRoTBYJoV2Ewy - DAOzDZjiaJqudb8wK6MlxOzyrojIMfuBBx549NFHf/e737311lvbt2/3+/3z8/Pj4+Nqtfozn/nM - 4OAgz/MymUwul4NDdXd3t91u93q9wnZ27dr1xS9+8ZFHHnniiSdOnTplMpkmJycnJyf37t27fft2 - qVQqk8nuvffeb3/7248//vgf//hH8ELv7e2Nx+MoL4JSqTQYDJB7nCCI/v5+q9X6zjvvHD169MYb - bzQajVKptIwjsCTCGPWhoSGbzdbQu6hiyN+echwXCARSqRQEAlShA1KpFKL9U6lUOp2u/pjDGW02 - m0qlyhezm/4ZwGAaGohFkkgk1XxVG31awDI2BoOpDm+99VYqlSIIYsktFqb+aQkxu7yAp65UKv3E - Jz7R0dHxzDPPvP766y+//DLDMOl0ev369ffdd9/1118Pps7BwcFrr732yiuv1Gq1Mpls48aNarUa - CncBMpnsYx/7WFdX109/+tNTp06dPXtWqVTefffdd911V09PD5zrhhtukEgkBw4cOHHixLp1626+ - +WaTyRSJRAYGBqA/d9xxx3XXXTc8PAzHW63W66+//n/+539++MMfMgzz8Y9/XKPRVNN6kE6nW6Fq - Rf54siwbCAQSiUR1nAgIgqAoCszIfr8/FArVqnoWpLVvhbx3rQOuxNbcwP1NJpPxeFyj0cjlcny7 - Vwp+RzAYTEWZmpoCd0WdTlcFgxmm7DS/mM3zPERNZ7PZcqmCQJoViUQ7d+5cu3btPffc4/P55HK5 - VCp1OBw2mw2MAyRJOhyOL3/5yxqNBkpe33PPPQRBOBwOYlFMgnV6y5Yt3/rWt2ZmZlKplNFoXLNm - jVqtRks4SZLXXXfdtm3bPB6PyWTSaDSoG/DHVVddldO9u+66y2azzczMDA0NgZW7mruB8fFxn8/X - mluQVCpVZRduMK1oNBqVSlXN8wppwRvd3GQyGYZhZDIZDq1vVuC2SiQSiqLwXS4SmGwTiUQqlcKW - JQwGUzlg33711VcfPHgwFArlVDXCNApNLmaDeW1ycnJ6enrTpk3CYOZVINyIoOxTGo1m8+bNQpEy - J/Csra2NWFyee3t7c75F7bS3t7e3twt7Dl8Ri0XClEplT08P1NaGYyQSCTojiP2oZYfDcc8991RH - 0IVOTk5OhkIhCEFvZcNmOp2uWqYK4f1Vq9VKpRLvlTFlgWGYSCSiVqsVCkWt+4KpIHK5HKexLR6Y - YCHNSq37gsFgmhnY2JtMJpht8O6uQWlCMRtkD0jZDX/Pzc0dPHjwiiuu6OrqKkXyzJfSSZKEIlvE - 4jtAkqREIhHmwYL04PCegPwJRbOFjZAkCW638F+RSASNIGFVmOEMrfQ8z8NPeJ6HYlrCksXEopah - ROXCZclkMiKRaGpqCpXybmXbSK2uPRKJJJPJRk8shKkTZDIZ+ODUuiMYTN1B07RMJiujfxwGg2lZ - CmeKPX36dDqdrm6PMOWkCcVsIBQKge8uiKNjY2Mej8fpdBIl5C9BQi+8D2KxGGp0SaVSkLehZZCr - 0a8gzTj6G/7gOA4+53kejoeE5MJzQc9BtIb03cSioA7HQFUw9BPUgZwLLKNhGV04OiOxaGx3OBwq - laqahcTqkxpeO9KzYEkbUyJisRhnNMVgCtOagVEYDKaMZLPZbDa7XB5KKBhEtPa+uqFpQjEbnlSt - VgvZAkDIjMfjZ8+eLTE3FaQcQ2cBIVMkEoEVUS6Xg2CMvgLS6TT4mHEcJ5FIvF6vWq1Wq9UgFcNX - 6XT60qVLKFN0NptVq9UikSgej4P3OMdxNptNq9XOz88nk8lMJgP/nZubS6VS2WzWZrPpdDroQAmD - d3mgMyg6HdnwR0ZGYrEYHNPK1uyqAU8aBBHwPB+LxWKxGN72YTAYTEVJJBLYdQiDwZQIbNhCoVA2 - mzUYDDk7Z5hhhoeHcVxPQ9OEYjagVCqlUqlEIgGJd3Jy8gc/+AHkIVt1mxs2bEB/kyQZj8cJglAo - FMFgkOM4vV4vlUrhtUkkEsLDwDTNcRxFUYFAQKlUGo3GVCrFMIxcLlcoFKFQaGFhAaXO4nleoVCA - 6zuoCXieNxgMOp3O4/HE43Ge541Go0ajcbvdcIzBYLDZbNCBVV9gMZAkGYvFQNhWKBTg3C4Sic6c - OTMzMwPHDA4OWq1WvAWpAvF4PBaLcRynUqlwGC0Gg8FUDlTAdmZmBpZvrNnEYDClQNN0JpMRZnci - BD6JVqsVHEjHx8eTySRFUcjFFc88DUHTitlSqRRqaLEsC5JhKBQqsc1z586t7ocomVnlQHnLK3qW - woBlXqPRXHXVVQ6HAyv7Kwo8VDKZDKqyy2QyCF6odb8wGAymSQBvsvwdMIrKFq68ePrFYDDFAzNG - joEEIklR7a7p6WlIewyliNAxMDXh9BD1T9OK2Waz+VOf+lQikbhw4QJ6NJcDzMiXLUkXDofR32Kx - WKFQMAyTzWalUimETEOotkKhEIvFkBiMYRiWZSF+G05BkiTDMCKRCI5BH8JhoLUq/uWBs5AkmU6n - oTPF/IrIi+u+7MHEYiw6nBF9BY2gRIgikWjTpk2bN2+mKKrIxpuMau60IFQBohVmZ2dnZmaEszMG - g8FgSkSY6gymd41GY7FYoNI4ZMSAZbHS2UYxGEzzkWOXBvdYk8kEX8lkMvi2v7+fpmmU0QkOxsaV - +qcJxWx4CuVy+Z133jk0NPTKK6+MjY2hhzIHEICnpqZEIpHNZoN83cu1fPToUfgjk8moVKr+/v75 - +flsNms2mz0eD0EQsViMZdmBgQGTyRQKhTQazczMzKVLlxiGsdvtYGCnafrSpUsURSmVSogVt9vt - CoViYmKC53m1Wk0QhFgsVqvVINYKrwv1mSAI8EIPBoNqtVomk504cSIcDqMSX5cFXWYxryi4het0 - ung8zjCMcIiEP89kMnq9/m/+5m/Wr1+Pw7OrAPId4nk+Go1Go9FMJlNzMRvfdwwG0wSk02mfzwe1 - EonFdKoEQUQikYWFhVQqBQ6cPM8nEgmpVAqb4Fr3GoPBNBJo0gCN3lNPPTUxMfGd73wnm83KZLIL - Fy4wDEMQhFqthjDYbDabTCbPnTtnMBh6enqwpF3nNKGYTSxK2mBZXbt2bSQSAf+K/CPBgOx2u0Ui - kV6vRznMlmz21KlT8Ec2m6VpuqOjw+v18jyv0+mCwSCEYWcyGafTqdVqY7GYQqHwer1er5dlWaPR - KJVKlUolRVE+n08qlVIUxTBMJpMxGo0URS0sLEBxbIIgRCIRTdOXNWhnMplYLEbTtFQqfe+995LJ - pEKhQMnJC/wQFQYr5mBiUcxWqVTJZBKc8Jfrj0ajWbt2LSgLWoqauOsLgxGkUmkNZ1ucAxODwTQN - MKG5XK5HH3103bp1H/vYxxQKBXir8TwfDocjkQh4pYlEIr/f/8ILL/T19e3YsQNM3LXuPqZeKHJ/ - JazVimlZ4Ek4derUc889d/vtt2/YsEEkEo2NjYGY7fP5UqmUXC6Px+P/+7//++tf//orX/lKZ2dn - zS0rmMI0p5hNEAQkYQbjHnhfFMBoNBbT5o033pjzSXd3d+GftLW15X8IdcVW14fluOmmm9xut1gs - 1mq1xdi0WZYNhUJqtbrs3t1Fiu7NBDjtQxILuVwuLOFWUSA+p4wF20oEuzBgMJgmAJawZDJ58uTJ - Q4cOZbPZffv26fV6+FYikYBGnuO4UCj02GOPPfvss1/60pd27NhR225j6gqe51mWFYvFy5lMwPyD - M1phAFC1XHvttS+99NJDDz103333XXfddWg/mUqlwGT41FNPPfbYYz6fT6PRFO/BiqkVzXyHQNNc - jBwiDL4qfFhO+ygyWfhVfugyOqbAWYrsw3K/FYvFHMcFAgGJRAIm8eWA2TwQCJw9e7avr89qteb0 - uUSWq/7XrIBsee7cuVAoRNP02rVrLRZLFUYA7C0ej8flciWTSbvdDqnmqz/4JElOTExA5gKVSoXt - ORgMpqGBGcxutzudzhMnTvzwhz8cGxvbu3fvwsJCJpMBjer09PTp06fffPPNQ4cOGQyGrq4umUxW - 645j6ohUKhWLxVQqFehlhKH7sHz/f+x9d3QU173/zPbetCuttOq9oC4QKohmG+OGu3HBDnae7Rzb - J4mPz8uJHcf5vTjxe3HsOIlf3IOfcaHEBkwzHUQxIBCIIlBFArXV9t5nfn98j+6Z7EqrlRDalZjP - HxwxO3Pnzp07937r5wvxERaLRavVpqSkSCQSeuu8yUGSZGVlpVgsPn78eH9//759+5A3u6+v7+uv - vx4cHNyxY8fg4GBtbW1GRsa0OXVoTBqzWc0GRKJARshcEnoaOjJWC9Tj4e9yPewpcK1GoxEIBDwe - LxJFVy6X5+bmQnXxQCBws+nGUwgYN51O53a72Wx2QkKCSCTCbnyKMphLhoaG9Hq9x+NJSkoC9X6a - jeJwr8OHDw8MDHC5XCAmoOcSjSDQvhoaMwgQDSeVShcuXHj8+PG2trbPPvts69atZrPZbrdjGNbc - 3HzmzJnTp08PDQ2RJLly5UpqvU8aNznAa9Le3v7999/feeedBQUF4KhEfhcI+sMwTKfTffrpp/v2 - 7Xv11VcXL17MZrNp7uibFrBFajSaxMTErq4u4LVls9nALXX06NHGxkaz2ez3+3EcX7p0qUKhuAmj - R2ccZr+afZ2YQTMYUrsjUZiBIs7hcHA4HBaLZbfbJRIJ7YS8HrDZbODPg3z7adspwRyOYVhRUVFS - UtL0T1d4WCgqxmaz5XI5pCHQc4kGFfR8oDETUV9fv27duitXrhiNRoPBgAp37du3z+v1OhwODMNy - c3Pr6urUajVGz3MaGIaN0Kaw2eyNGzcePnz4+eefr6mpiYuLo5KYkCSp1WrffvvtjRs3er1e8FjS - 8+dmBkwbsVicn59/6NAhYEGGQkgYhg0NDYGxhslkyuXyuro6kUhEZ+rFPmiz2ThARsfYB4PB4HA4 - ESp4JElKpVKBQMBisaAi1I3u3uwGMkJHa8lTq9VisTgqdydJUqlUAumu3++HMnU0aCCQJGm1Wj0e - T7Q7QoNGpIC1VCaTIV5SCP3FMIwkSaPRCOziHA6nsrIyNzeXprCigQD6Un5+fm1tbVNT06uvvvre - e+91dHSgqqvDw8MXLlx44403vvrqK61Wu2DBgtLSUjqokAbMnOeffx7DML/fT3WcgEYNlYBzc3PT - 09PpiPEZAdqbHQ6BQMDpdHK53JnCmB+hMxMlnsF/6Yyy6wGMOaq1EK1u+Hy+KHKh+f1+YHOhZU0a - ofB4PGfOnElLS0tLS6OnB42ZAhzHgeEFx/FAIEA1uMNxn8+XlJS0ZMmS9PR0eumjQQXUZ6mvr9+6 - dWtHR8cnn3zS3t6elJRkNBpJkjxy5EhnZ2dHRwckby9btkyhUNDzhwaGYTiOl5SUCAQCqPiLjiMB - D8fxuro6qVRKR4zPCNBqdjiAms1isWYKY/6Evreb9vsMT/45OTidzrFqs99QoDLm0X2VN+dEohEh - mExmSkqKVCql5wmNmQJwK0kkErVaLRAIIKYXAUReEIjLysq4XG6UukkjRgFmF4FAgGEYm802m81b - tmwRCAQulwvDsP7+/oGBAZBDysvL6+vreTwevTzSABAEUVhYeO7cOSTgUSGVSpcsWSKRSOiI8RkB - OlQ4HFgsllQq5XA4s3Iqz8qHigQGgwFy6qYEMIxQMn2q2owcJpMJYnHNZjPs3zRoxBrYbHZmZiaq - h0SDxowASZIsFqugoEAul4c6q0mS5PF41dXV6enpUeogjVhHeno6n8/3er0weZxOJ9Cp4DgOpZg4 - HM6qVauysrLoAGAaCEwmc/78+QKBIGhWQOpKVVVVbm4unWIwU0Cr2eGAuJ2i3REaUwmxWDzlcfLR - MitCsRBspEAIDRqxCcTVR4PGTAHEZM6ZM0epVAb9hBKviouL5XI57VaiEQSYD4WFhTk5OXw+H1Rr - NElIkgwEAn6/Pycnp66ubrb6cmhMGnK5PEj1gBkSHx//s5/9TK1W0xNmpoBWs8cBLRrOPgiFwlkT - 4ycWiyGjgVZjaMQyaD2ExowDTNqCgoLU1FQooIAAXEQFBQXgyqbXXhpBgPJdPB6voaEB3JIkSVL5 - UyC9/4477khISKA5aGkEoaSkhM/nU49AGktycvKcOXNoQqUZBPrbHge0aDj7MJtEIkTMA6Tx0e4O - DRo0aMwSwO6vVCpLSkpkMhnyRoK8K5PJGhoaMjMzaSGBxlggSXLhwoVisTjUDh4IBORy+dKlS+kk - WxqhWLhwYWJiItX+AjksixYtkslkUewYjYlifDV7GnSS2aT20Jg2TLrWGuxnUzvrosVFj246m1z0 - NGjQoBELgFjfefPmQVlsAKy6xcXF9fX1UqkUo83xNEYDzIry8vKMjIygsqnwd0FBAZ2VTWNUxMXF - lZWVCYVCmB5g2lMoFHfffbdCoaDtMjMI46vZ01AIF6oB3dBb0Jh9IAjieiKlp6oiOjQilUqjS0dP - B43ToEGDxo1AXl6eSqUC1QjkXRaLNXfu3IyMDHrVpTEWYKrw+fzExEQOhxMUGY7jeENDg1gsjlb3 - aMQ4ampqUNw4aNpZWVmQYkDr2DMI4dRs2D9MJpPdbr9xajBJkgaDwe1236D2aUwCU6WC3iBA36xW - q9Vq9fv9E+0qutztdl//YyIG0SgWzcZopnEaNGjQmGqAaqRWq5OSkqA4E0ClUs2ZMycuLo4ul00j - PEiSXLJkCcSNUynQ2Gw2uCuj2z0aMYuMjAxECQGmveXLlysUilgWzmmEYnxvNo/Hu9EsiHw+n2bz - jhHEuIJNBZfLDbUQRw6fzzclla5huFgsVnRZTIaHh+12+0x5dzRo0KAxU8DlcouLixUKBdRhwnE8 - Ozs7IyODlltohAdIzg8++GBBQQGqjA2iQmpqal5eHs0xTmMsFBUV5eTkcLlcWGdUKhVk8tMTZmYh - nGIA71IikfD5/Bv3XnEcl0qldFpp7MDj8RgMBpfLFbOR/DAbBQIBSlwZ68xR1U44XyaTCQSCqZrY - UV/4EhMT6fAzGjRoxCbISSHyxsPfNPQ06k+jnoNOgHyc3NxcmUzGYDDghLy8vKSkpPA9DPo16Haj - /ndqEeHoxSZmwSNgI3HjYrG4qqqKz+dDuC/8u2DBgvj4eCwGhAcaMQiSJOPi4ubPn4/ckCUlJUlJ - SXT4zIzD+NTEJEne6Jc6DbegESGsVuuVK1d6enpycnIyMjKmxMICm+WUv+Lw08br9WIYBuTbo542 - 0VTqsW4HBy0Wi8/nm1CDUwu5XD6FVgMasYmx5M5YeO+T+MwjueQGrR40pg3wBgmCCAQCoGNEfm0k - J4M+hqRPNGGoehr6iRq1i34KmmNI+4X/BgIBJpOZn5+vVqtbW1t9Pp9MJissLFSpVFhYDT8QCEDR - JoyiNKLbBd39RgDdOpLPh9o9RKh+gzo2LgiC8Pv9s6N2Bsyf+fPnf/nllyaTCY4oFIoVK1bIZLIZ - YU0IP4ViYcJQOzMjFIownaSuP/Pnz//nP/9psVgwDGtoaJBIJJCcOM0POD1DGvtvbXIYfxWjB/cm - ASQOXb582ePxpKWlxcXFTdUmd4ME5TCLFI7jVquVyWRyuVwcxzkcTmh030QXDli+xxL7or5T0jyC - sx6gqATpABiGMRgMCGSNXtcwkiSBJYHJZEYeSQszNgyhCzQ745h4Z4ScNz0AfQnDsEAg4Ha7uVzu - hOybkRBewHyDTwPUePA/M5lMRAyJXIhwCTnio0bVjOFvcFaDeoz6D/+mpqaWl5efOXNGq9XGx8dn - ZmZCqjb6JEN75Xa7WSwWfBFBt6Z2Bu5ONQQwmcwpYfrw+/2otXF3KOik3+9nMBgwAtEKiYcxdzgc - IpEIi+reOuqtqZ92kMUEo3z7QdcCWf3Q0BBMsPz8/Dlz5uA4HggEJv2ug24x5WsO+oLCTCH4smDC - TNSIdiMAkyeSCY9NcGoFvfcw/40EYYYUvjswM+Xk5HA4HNhbExISYMIEyQAR3nrSuxKsIVQWpPDm - /kk7A6JzoRVCAAAgAElEQVRVr+dGYzYYC2lMDkHaL3gDkpOThUIhFHKcklvgOO5wOEiSlEgkE+rP - dUIgEDAYDAh9B/kpqOXIbwRPYbfbmUwmxH2FnjMrVwcaMQW/3282mz0eTyAQAGECx3E+ny+VSsFp - FsVJSJKkxWLxeDwTysWw2WxsNjtMyIzX63U6nXw+HzHBxD58Pl8gEGCz2XTiLkmSPp8PJm1bW1tz - c3NKSkpycjIWsYCblZUVvn0cx30+n8ViEQgEAoGA6kP2eDxarRbCmuLj43k8nt1uh1RYFosFDJpc - Llcul5vNZrvdrlQq+Xy+2+0WCoVerxd+dTqdLpdLKBSKRCKfzwfv1Gq19vb2dnZ2slgsNpsNyjlV - kQY7b1NTU3p6emZmJhTFAJeUUCgEE7BAIPD5fCwWy2Qyud1uJKGyWCy5XG4yma6/eERJSYnD4YC7 - jBszAtYQFovl9/vZbLZSqYzKkgJvsL+//7vvvqupqdFoNGKx2O12Q/emuT9yuZz6XxBmCIKAyYBR - YuKAA5XNZnM4HLvdzuPxQEcFmlXQlJKTky9dugTrQ0FBgd1uHx4entxDkSTJ5/MJguBwOKCcOBwO - mPkEQUDfrmesYA4jMw3M/FHDlUmSNBqNUqkUGLDhc5j0fa8TBEE4nU6DwQDfaRjrLSQ8czgcgiA8 - Hg+S60CZHNUUaLPZMAxjs9lOp5PNZsMng+M4pNxPlIDWaDSKxeKgDxMtHTweTy6XM5lMvV4PDjCS - JCGdUyqVBgIBPp/vdDrBSujxeMI8LDTLYDC4XK7f74cHnJBmThCEy+Xicrmw3Hm9XjabLRQKQ5nz - XS4XzEw4AhYBv9/v8/n4fD7MIq/X6/V60XIHhlcmkwkWyVlJok6r2TcvYD9D3zn8q9FosH+3r18/ - whtrqdZfan8mDXwkcxvDMBCApsQtD0tG1L3WNG5CwEbb3d395ZdfXrlyBYoswv6XmJh411131dTU - iMXiqOxP8EUYjcY1a9Z0dnY+/PDD9fX1kXBtuN3ujRs3xsfHL168OLTz0Gxvb++uXbvq6uoqKipu - UP+nELCUmUwmk8mkVqtvcq4aWC2bm5s3bdrU19fX2dnZ09MjEomAQiLUDYiNpnuXl5eHvwWO42az - Wa/Xq1QqqVTq9/udTieHw+HxeAaDYWhoCNRsjUbDZDItFgvMTA6HY7PZrFYrh8NRKBRWq9Vms8nl - cg6H4/V64+PjHQ6H0Whks9lut9vr9fL5fA6HMzAwYDKZGAzG0NDQxx9/vHnzZh6PJ5VKg1KToFdD - Q0MdHR2pqakpKSkul8vlclksFoIgpFKp1WrFMIzP53u9Xh6PZ7FYXC4Xih5nMBgSicRms4Ep7Xp2 - nMcff7ytrU2n00kkkvCbYCAQsFqtgUBAJpNZLJaEhISHH354wYIFN5SXJxTwsD09Pa+99lpjY2Nm - ZmZmZmZxcXFvb6/dbsemXc1eunQptW9cLvf8+fNOp7OiosJoNOI4LpfLQYdpa2uz2WwqlUqj0Zw4 - cSIjI0Mikbhcru7ubpIkExMTu7q6Ll68CDo2hmEnTpyw2+0g7Uziofx+f25urtPpTExMVCgUHA7n - woULFosFJpXRaMSmQs22WCwcDofP55tMJoFAMCpbm9/v7+vri4+Pf/TRR2tra+EjitZO5HK5tm7d - +q9//Qu8LGN1w+/3p6amgmnA5XJdvXo1NzcX1DyLxeL1ehUKRdAlOI43NTXBq2xra5PJZIFAwGKx - sNnstLQ0DofT0dExoaiE3t5ehUIRpKyCT+jatWtCobC+vl4ul+/atQsSDXAc//rrrxsbGwsLC61W - a1ZW1uXLl6VSqdfrHRgYCC+uEwTB5XKTk5NNJpPZbJ6Qmo1hmMfjAUuKWCxWKpXDw8NyubykpIS6 - pJAkyePxOjo6CIJIT0/HMIzBYNjtdp/P53A4+vr6ioqKhoeHRSJRf3+/Xq+HDvj9/pSUFPkIFi5c - qFQqZ9+mSavZNyOQk9ntdkskEuqyiHb68NdGeCM4Mww1F+jzsCC6XC6r1SqTyRAh5/XcHc7kcDgR - djUM8BEuQGwknmesc6IIm80GRs0oghxBdLsx+wCTubm5+bvvvtNqtaWlpfHx8Uwms6en5+TJk8eP - H3/ppZfuvffeIL0OhZYFSXKjho2AJh+q6447saG1ixcvbtiwoaWlJRAIpKamZmVljeX6QPe6evXq - unXr8vLySktLIToUaRpgcWMymVqtdsOGDSKRqKysDLFP4ZR01qCFK/Rh0a/Upw4aDWpro2r7aBxG - HV74L5LqeDxe0KJ6EwKGZXh4+OOPP96yZQvIdiwWy2AwTCi35fz589fTDWTAvc52UGtsNhs8Xa2t - rRcuXBj3/J6enomuhzC9wS05+b5iGIZh7777rslkirDmJXW64jh+/vz5NWvWFBQUTOc0BjWjqanp - yJEjw8PDw8PDx48fj4+PNxqNk6A+wa877/3w4cPYvy+DRqPR6/Wq1WqXywUeQjhuNpshDkIoFA4N - DclkMjab7ff7jUYjSZJSqRTYW0CyYjKZFy5cGGtORththULh9XrFYjE4Zg0GAxiYIDsjaIWcdJRv - 6JI7ViMXL1589NFHn3766aSkpHE7P+WAPeXIkSPvvPPOpUuXxvUty2QysCD4/X4wscEC7vF4CIIY - NXhqaGgoEAjAq2SxWFCqhiRJhULBZDLNZvMUsvPgOH7ixAkul6vX62HaEARx5MgRDMOUSqXb7ZbJ - ZAaDgcfjEQRhs9mCdjHqZoeOKxQKh8Ph9Xoj/yhCm5JIJDDNINoFnUmSJBgpUOAqjuM+n48gCK/X - a7FY4uPj4UKbzUat3ywWi8EqyuVyX3nllZUrV4rF4qinHkwtaDX75gXEvQRN6HEFa0iVnNBnECZ4 - 0m63BwIBoVDIZrN9Pp/JZIJPbqzzJ6rhj6pRAGAJiDzGaayngBGTSCQQThNh96YcHR0dOp0OiCin - /+4wzi6X6/LlywaDISEhAYsB08NsApjq/X7/7bff/stf/jI1NZXBYOh0uoMHD3700Ueff/55VlZW - bW0tRiF/QuI1PlKFCJmQIS6OqqOGxpKQI3nR4T8QEE12796t1+vFYvHZs2e7uroyMzND9VWUWw7L - DuyvTqcTfTVwRxCYwDMwPDxstVp9Ph/SaeFzg7B5qKIHXn1qID019ix0KKi/QuYwVVWmjkDQr+h2 - 1K7C+dBbGCiJRDJugsysB6Qft7S0tLS0gNcOFNRRLSAQazoquwQ4flEGNZfLBU0MfoWJDTKoy+WC - +o4ejwfCQUmSBAl13GgmxBFAPYjiQtERCAPGMIzFYqH55nK5YH7C1IJ+wjmQfgnZzgKBAKJ5YccB - GRSenRpQ5vF44CD6acJDT8Hw8DCkWEeisaPHh/nc1NS0efNmtVoNGsj1dGNCIEkSKlOCxohhGERW - T6gPVDFmQtsQKAlutxve75UrV4JOgNauXLkSqoGAqwA8dcPDw1RlRq/XIzI8mK5BZjsWi4WWL3Cb - 4zgOqQSBQADyC5AWB3oI5OJBsjdcxWKx4CB1mYJhhOinUR95VCIANJ9hxR5rCsFpMEvb2tr+93// - V6PRPPLII2Pl1t04wOZ17Nixq1evYiNhjBiG4TjO4/ECgYDP50MlYN1ut9PpBJ0QLrdareNKjPCr - 0+nEMAyio2FLNRqN8KmGeWQ+n4+8IMAZBG8TPk/IiYAGIYja7XbDtAdHEU5Jetfr9RiGQXAHWBNg - /NELQm8NVjB4uQRBoBiHCb0aNpuNotYh5gWSMWGcgwALI9wIAWbIwMAAmkXIOIXjuNPpBDMBhmF/ - +9vf5syZM3fu3FmWpE2r2TcjkNclVKEdd5UxmUwikQhCsiPEqG3CwZMnT+r1+rq6Oo1GIxAI5HI5 - LIhjCWQ6nY7P54tEogg/wlFPQzqh2+2WSqVoIRv3KUY9J9TNFRVQzZnRAmT+TAl5D41QQHZcaWlp - Xl6eUCjEMEyhUOTm5nZ2dq5fv76tra2mpga2Q0QDY7fbIZMQLkdhI9iIaw5aBnGNw+GAEwYddDgc - o64SCOSIK/vw4cPJycnFxcXHjh07ceJERUWFUqnEKN8F0kmoljUmkwn2dWxkAoMA5PF4GAyGXC63 - 2Ww+nw+kECQ5gcUB8tNA74JHttlsfr9fKBTCLo40cAzDHA6H0+kEN4VIJAIpFi50OBwOhwPGRyaT - oaQ+6I/H47Hb7X6/n8PhiEQiDocDShGocBaLxWq1wggrlcowYTg3IUiShKxsGOr09PTCwkIulxu0 - kAYCAbFYnJiYqNVqQYajNnLkyBEIoobgbY1GAyHc8FqBmIDP5zscjs7Ozvj4eIFAMDAwIBQKk5KS - vF5vR0eHXC5PTEwcVbKE6cRkMiEUXCQSUaXz9PR0h8Oh0+mQlKlQKMAPk5SUpNPpINca7JvQmlgs - BoU/EAhIJBKZTGY0Gu12O5fLzc/Ph1zxhIQE4CO4evUqSZIymQwKmoIRobu722QyqVSqq1evZmRk - JCQkXM+qfvToUSzs/ks9Du8F8j/BXvD5558vXbq0srIyNMrjhgJZK9AwBnU1PJAljpxgvhgoqGVl - ZX19fXq9HowsAEg3dbvdbDYbcly9Xi9Yc6hqNsSEj5UyA2NO5XSEecXhcMRisclkAp+exWLJyMhg - sVh9fX0sFsvlcmVkZNhsNq1WGwgEOBzOnDlz5HJ5S0tLX18fZBdD7rfP56Ou1eiFQuLrqF2CQUa/ - Up8F9nFYD8cSC8mRFG6YMzqd7sCBA8uXL+fxeOM6bKYW8IHDsgCmMVgNILrK4/GYTKaEhITExESB - QNDZ2QlH0IZC7epYPYcdITc3d2BgAMwZVFuGWCw2m82h5gxQdzMzM69cuQJsiAKBID4+HkLBBQIB - n8/v7+9HFPQajUapVLa1tUESB/ABgQsaTIcwf+C9wCSEjsGkQi8UDI7UXX4SIAhCLpd7vV4wK2CU - WBuPxwO51tAfJHUgGyhMS6TnI7EQPh/0X5hpsGZeunTpX//6V3Z2tkqlmk07Ka1m0/g3DA0NhadA - G5W1OzyCPj8EHMchLw6+OjabHRcXByvLWE3t2LEjLy+vqqrqelK4YTsEiRkJzZFcNepxcoTeNrrr - AoQwRevu+Eg+fE5OTlxc3DSLZTcJQC3U6XRmsxk2NgzDvF4veAtRfgTQwOj1+jNnzjQ3N9tstrKy - srq6utTUVJD/tm7d6nQ6ly1blpKSgmEYSZIDAwNvv/22XC5/8sknMzIyoB2tVvvdd99VVFTU1NSM - 1SXYRA8dOjQ4OPjMM8+sXr365Zdf3rt3b11d3cKFC5H0Bnv/wMDAjh07WltbnU5nXl5eWlqaTqfj - cDigrpAkaTQad+/effr06eHhYYFAUF9f39vb6/F4Ojo6hoeHU1JSuru7PR6PQCA4fPjw4ODgwoUL - y8vLIbKupaXl6NGjZrO5rKysqqoqNTVVJBKRJOn1eoeGhr777ruuri6fz6dWq5cvX15eXs7j8Xw+ - X09Pz7Zt2zo7O0EiX758eV1dnUQigbE1GAz79+8/ceKEzWZTKBS33XbbvHnzwGZhs9kOHTq0d+/e - S5cueb3e7Ozsxx9/vLa2FhT4GzcHZhCQqxn+W11d/eKLL0IRrCChlsvlymQyq9UKKcrURs6dO8dg - MIRCIZha4uPjwSsFL4jL5YK9xuPx9Pf3Q16owWDg8/kKhSIQCPT19YnFYliRwvTTbrczGIwgKwkE - 5YLXCPqJiK8gvxo8z319fWazGcMwgiDA+AVdFYlEEonEaDS63W4Oh5OWlhYIBAwGA4SY8ni8oaEh - DMNEIpFIJGKxWCCODw4O2mw2qVTa39+fnJwcmiM6IZw7dy7yk2Eju3jx4jvvvKPT6UiShCzKqSVq - ibAn2IjiVFpa+h//8R9yuTzyDoAaAFqKVCrFJhgEl5ubq9PpwCNnMBh0Op1ery8rK8Nx/OzZs8nJ - yZBs39vbK5fLgfwZ6R5Go9FgMKSlpY2l24CjGxn3sRE1TCgUms1mULONRmNqaiqbzdbpdDiOe73e - pKQku91uMplATMrIyBCLxUePHj179mxRUZHb7VYoFE6nU6vVQp4wdRza2tpUKpVMJgsdBFDCLRaL - XC4PyrNlMBiwLIen/EBP/cYbb4B7E4ySEY72lAPpvSRJPv3009XV1RiGaTQan88Ha3hcXByHwxkc - HPR4PEGx1pGAJMmUlBSj0Qg+bXSQzWYLBALklQ26hMViaTSagYEBcHoD7SKEbHC5XNi/IGzH5/Ml - JCTI5fKenp7z58+bTCalUpmZmTk8PBwIBBITE1kslk6nk8lksLTCXCIIwuFwSKVSCKg0m82wEnZ1 - dQmFwkmrrKAASyQSv99PDfOGl97X13f16lWZTKbX62UyGZCVkiQZFxfn8XjAWpSVleV2u41GI8xq - sFfm5OQMDg7CukqOhJpv2rQJvPfbt29/5plnYJuYNaDV7JsXoXsnQRAdHR0pKSlI0Aw6AcdxZFqO - /BbA0Bh0IbRcXl6u0Wg8Ho/b7QZn0VhNga6uVquBTjbyPqCekCMR8gRBUH3y4BxDSXeTAwT0Tvry - 6weQ9ERd1b9y5YrRaExMTMRiwMM/yyAUClksVkdHx9WrV7lcbiAQ8Hq9+/fvP3nyZFlZGZSHAWv3 - nj17vv76a2DlCQQCP/zwQ11d3VNPPTV37lyBQHDw4MGjR49KJBK1Wg1xXDt37ty5c6fX683KykpK - SoJYkl27dn3wwQcvvvjiWGo2fN1arfbIkSN8Pr+kpCQhIWHRokXvvffe0aNHS0tL4+Li4MxAINDa - 2grH5XK5SCQ6e/YshmF9fX11dXVIH/72228/+uij4eFhjUbjcDiOHDni8/kMBoPRaASH8/bt23fs - 2MFms/v6+mw2m1AoLCgoMJvN//jHP3bs2GEwGORy+bFjx+Li4latWnXHHXeIxeJjx459+umnLS0t - QqGQx+MdOHDg9OnTr7zySn19fWtr67vvvnvu3Dnw4V+9evXUqVPPPvvsihUrFAqFTqf7xz/+8f33 - 33M4HKlU2tzcfPLkySeffPLuu++WyWSbN2/+4IMP3G53VlYWn88/evSoXq+XSqWVlZXTNh9iHOQI - 4L8ikUilUgHNeChwHEezhQqg5IwEyDyUk5ODDgIZz6QB3vJRf4JgDQzDxnqicZGWlhZ6ENJtMAwr - KSmZXLNUhBk9kJtDrUJ1dXVr166FFPrExESNRhOtYoGwWefk5Nx6663x8fHTeevMzEzqf4FaGcfx - O++8c9yIlXGt9hGa9cfFfffdd+edd0IUNNXTGHTa7bfffv33Cg8cx9etWzc0NORwOJBPdZqDxuGO - VKm1urr69ttvH1WkjEr2eFFR0Vg/5ebmBh2BaY8mXlBAOzUUJfSN42PkZk8twEbD4XCgTCM5kpkF - gTkMBgM6j4q9ITp0NptNLWIHceMXL14EFgmUU3Mj+hwtREHNnmbLKI1RgQQg6rvAcTw7OxuIlKip - y9f5vsJ4p0mSBP0QVsOxYpOwkayYuro6VMEi9IQw/USxNHAOKpEKW4LVag0trhBh+3AQymmMde00 - IC8vb5plESrgxYFSBPwr9Dc+hYCNFjy0Fy5cWLNmjUqlcjqd/f39586dY7PZDz/8cH5+Pgx7a2vr - Rx99ZLFYHnrooYyMDKDb2bJly9DQ0BtvvFFdXT1//nzwxM6dOzcjI6Ovr2/r1q0mk8nhcJw6dWrR - okXJyclOp3Pnzp0ulwupE6GAl3727Nnu7u758+fn5+cTBFFXV7du3bpdu3YtXLiwoaEBujQwMPDe - e+/t37///vvvf+CBB+Ry+ZkzZz755BNgYIYE2rNnz37zzTcKhWL16tVz5851Op27du369ttvbTYb - cooODw+fO3eOz+c/8sgjhYWFVVVVDAbjww8//PrrrysrK59//vn09PS2trbPPvts7dq1WVlZmZmZ - a9asaWxsfOKJJ5YvX87n83ft2vWvf/3r6NGjEN/+448/rlix4uGHHwaq3o8//vjIkSM1NTUCgeDD - Dz/85ptvampqHnvssaSkpJ6enr/85S/vv/++Uqmsq6vbsmWLwWD4xS9+cc899/B4vM8//3zTpk29 - vb2VlZX05B8VkOzq8/nw0ZIDx9I9kLwe+se4Z4Y5ORKEUYeCfkJZkdTI1dC/I+wPnDMlispY+bTA - nkgQRGZmJrWmN3B6Id1bJBJJpdLohiZBzLbX6418NKijhwYz8jtSz4eEagjMBlkFBccyKCXWqa8M - XT7WTakRtuguGGWqjDWBg+YSvCyCIEC2gVtDcg21Kcj6Hqszo45S5AMId8RxXCgUgphHXHcVuqmC - 1WqFdCT0UoKm0OQoBqkvK/zBsX4NncmhrcGLg6BrlMmPxGM061BQG0aZHkEB/+jkSTzsqI+Gbg1C - O+Qp4BS+YbgEvho07CiTAh6NGj0BIfdwWlFREaTezCZle7rVbDIyWh0aNxoEQUB1RzT7IepDpVKB - U1Sn0zkcDrVazefzJ23PhqvCMI3DAh3+iyJHyuRcvHhRpVIFWZrRObCkApUa2MxQmxCParVaVSoV - 5HUrFAqqbIGKsoQBdRUL/RWElShuMDabLYoEbChovLi4WK1W01/35BAmKwz8xi6Xa3BwcOfOnXFx - cUwm02azmUwmjUZDjULcvXt3X1/fSy+99OSTT6KUQqvVumnTppaWlvLy8ttvv33jxo2nTp3q7OzM - yMhoamrq6empqqrq6+s7evToXXfdlZyc3N/fPzg4mJeXN+rnBsBx3OVy7dy502q11tTUqNVqr9eb - nJxcUVGxbt26s2fPzps3D0T2U6dONTc3V1ZWrl69Gtx0RUVFPB7v//2//9fT06PT6Xw+38aNG7u6 - ul577bXVq1fDojR37lybzbZx48b09HRwdUK07eOPP/7iiy+C3+/QoUPbtm2TSqXPPvvskiVLMAy7 - 5ZZb7Hb7F1980dbWlpiYqNfrBQJBfn5+QUGBWCzOzs7Oz89PSEgQCAROpxPHcbVaDfaLkpISiUTi - 8/kUCkVLS8v27dvT0tJeeOGFsrIyr9ebm5ur1Wr/9Kc/7d+/v6ioCNYBCNLjcrmrVq0qLi4Gp8Ss - EQ6mFiDsTrSCETLRhv4x7plhTp5aBN0lTAci6Q+cMyU9H7URWEzi4+Mh25NBocuCEGWkKcUC0YZQ - KIT0rgkNCFI/JjeY6HxyJHcXZcCCkQgpPBABh+4SyU0hqi7013EncNAl0B+4KZBdYSN1vKlNjXU7 - ajuhHY78WTgcjl6v7+npgfiIrq4u8GmPdbtpA2SkI47bMAM+ucYjPBjJr6P2jUppRk2jIEfKeqOX - i1FeIvWEUafE5BC6jkUSb4/6FnSQeoSkMClgGBat2JkbiulWsyFzQCKR0Gwx0QIK5G5sbCwuLoaw - OpCYe3t7NRoN5IPxeDwmkwn8QxKJ5AblHKK8Jmw88ZTD4UgkEpFIFLpegMHvypUrDAYjJSXFZrOx - 2WyJRIKWJzDuWCwWqVRqt9vZbDaVKQTHcdBGxgLS81ksllgsDt3tMAzTaDR8Pn/cMhI3DkNDQ8Cc - GcXPCrLrkXUzWt2YoUDb6liatl6vhyjllStXlpSUQLzW5s2b9+3b19TUNG/ePKVSSRDExYsXFQpF - fn4+FB0BSWjRokUHDx7s6uoymUyJiYlFRUWtra2XL1+uq6sDapZHH320tbX1q6++6ujoWLBgwZkz - ZywWy4IFC8aKiQUTe1NT08mTJ9PS0pKSkiCXD8fxsrKynTt3HjlyZMmSJVAQ6OzZszabbcmSJdnZ - 2dAlFot12223ffXVVwaDQSgUGgyGixcvSiQScL/DOUKh8NZbb/3xxx+Tk5PlcjmGYQ6HIzk5ecGC - BQqFwuPxsNnsgwcPDg4OZmRkQC46tOzz+Vwu17Vr13g8XklJyaVLlz755JMLFy6Ul5cXFBQ0NDRA - 6lpRUZFKpfriiy+6u7srKiqKiopKS0tVKhXE1dvt9szMTLPZ3NjYePXq1YSEBCD1PXPmzNDQUGVl - ZUtLy3vvvbdhw4bKysply5aVlpYqFAralT0jgPYFdCS88k+VKZGuNVaz47YTXf8w3HrUEH1sZBzg - HLCMTGvnQqBWq5HOMKFBm5IRxin8ptS3Nlbjkdx0ql496g/130nc7nqeBRuh8hYIBCAXCQQC0Pnp - ZfA6Qf30gmJOQ/8e9+CUI/JFLPQ06hHkuIaDLS0tVqtVo9HMpvkz3Wo2BLpEfe2mwWAwQNZER3g8 - XlJSEjh78ZFMObfbDay/N6IPSOEP79OGgxKJpLy8fNRGMAxzOBzDw8OQawqkHSjWBeJb4uLigNTR - YrGAhkCNh49kVwDut9DTyJEyDNG14NrtdipNRVRAEITX64Ugpej2ZGaBHKljTxCEQCAY1aCL43h+ - fr5MJlMqlcuWLYNvAcfxxMTE1tbWkydP3nPPPSqVym636/V6sOLjIxWGcBzPycmRyWTXrl0DwtUl - S5bs3bv33Llz/f39ly5dSk5OhqSDXbt2tba2Dg4OHjp0iMFgVFVVgX47VrcPHz4MPva1a9dKpVKw - qV+9etVoNJ48efLy5cv5+fnwjQMpFBjvsJHCOUKh0GQy4TgOtKUajUYmk4FwDwoJrEjUqEiv1+vz - +YAyisFgKBQKLpfb1tb2P//zP1BElMlkDg8Pg5OZzWY//vjjZrP5xx9/XL9+/datW2UyWW1t7ZNP - PllUVFRTU/Pkk09+9dVX27dv3717t1wuT01NfeSRR2677TZ4wMOHD3d1dfn9fqvVCnTWXq83PT1d - KpWuXLkSx/FNmzZ1dHS0t7fv3Llz4cKFTz/99Jw5c2alPX6WgSCIICoNxgiC3h3MQ1R9BwImYZ4H - +TyxkVJ5YUr7wExmUkrKRQuRmLbtdjtigIsWIGMzun2gMRZwHCcIQiwWA3++y+XSaDQQLUzLADQm - AZ1OF0Vn1Q3CdKvZbDZ7QhxaNKYc+Egg9/z586l6I5fLDeL3I0kyfDmfKQGsyH6/n1qWdiyMqg+D - zki+8ZYAACAASURBVJyfny8Wi9lsNtV2YLfbPR6PUChEgXASiQQo0FCFnnHNckjPH+tXHMctFgsU - XYjwqaccUedgA0TXUTNDASOGMujGsv6iBHiv1wsJAkwmMzs7Wy6XQ90gbKQaPDXGDK4F/dbr9cIk - KS0tTU5Obmlp2bdvX2dnZ0lJiVqtViqVCQkJJ0+e3LVr18WLF4uKigoKCsYyHjEYDGAuAfrAY8eO - oenHYDAEAoHFYjl58mRtbW1ycjJUYAJ6PLDf4TgOlWC1Wq3FYklLS2MwGFarFUrFoqceGBiwWq0d - HR16vT4xMZEgiP7+fiDgBf0Hslo0Gk1DQwNkTpIkKRAI4uLigFytpKTk1Vdf7evru3z58smTJ0+e - PLlx40afz/eLX/wiOzt71apV1dXV586dGx4ePnHixI8//tjX1xcXFwcDWFJSAnHvUIkUUsiqqqqy - s7M5HM5zzz13yy23aLXalpaWPXv2bNmyRalUQjmWUV8ijdiB3++HWncwkcDOC+pBkKcaPkngJQYK - cRzHpVIpUKMxRipgYxgGtZdQnblRDbI+n0+v1/N4PLlcHl2zbCROzuHh4d7e3vz8fBR5O/2AFYaa - 5EUj1kBNNb948SJ4I6PbJRozFKO6smY6aKbxmxEoCprH46GCDaHm7Rs93ZH6CmoqQRASiSSML2gs - HRvDMD6fD0I2EptA4N6zZ8/g4OCyZcusVmtfX19VVZVGoyEIQiQS9fX1GQwG0AEm9KRB3YC/wV83 - 0RGYWkRRyYdbBwIBSBGfULVSGgCxWIxSrUaFXC4H8R05qyGMAty24GcDx0JXV5fb7aay1+j1eo/H - k5aWBqXp4+Pja2pq/u///g/osh977DHI1ayvr//iiy/+/ve/+/3+J554Ii0tbdRPA153e3v7tWvX - KioqHn/8calUChoyeK0JgvjrX//a2Nh4++23azSarKwsoVDY1dWl0+nA6c1gMLq7u3U6nVqtVigU - MpksMTHx8uXLHR0d1dXVUAYWx/HOzk6LxaLT6Ww2W0JCAjwURpnqEF5eU1Pz0ksvqdVqOKjVaqG8 - E4PBuHbtGpfLra6urquru++++86fP//rX//6wIEDd999N4/HCwQC+fn5EBrQ19f3q1/9aufOnRcu - XMjNzeXz+WlpaT/5yU8QW7XBYDh+/Difz2cwGO3t7RwOp7i4uKqq6pZbbrnrrrteeumlK1eumM3m - 8OWjaEQXsHo3NzcfPHgQAqkIgoBaxHl5eVBNB/F6gPN5cHBw9+7dp06d6u3tdTgcLBarqqpq5cqV - BQUFbDabxWJBaS6ZTLZjx46rV6/edtttRUVFQRsZ7EenT59es2ZNSUnJypUrQ2ubxRri4uLi4+Oj - 63i3WCxRzw+nER7U6QEV6aLYGRozGlEUYm8caGn45gVI5+i/0dpKwagPJQHCm8zD9JCaa4ePJHt7 - PB4owCsWiy0WCzwvSZIej4fH40HNHq/XGz4xO/RGQfYIkNsgNymKAsFESWJuBNxud3t7u16vh4LM - sSxBxiDCTH4YSbVaDXEZyHUA8SaZmZkDAwOQmc/hcKqqqs6cOXP69OmysjKZTMZkMu12+969e41G - I1Q1xzCMxWItWbJkx44dTU1NlZWVhYWFMH8WLFiwefPm06dP19fXFxYWIn7EUPj9/q1bt5rN5qef - fvqRRx4JqpuC4/jQ0ND7779/8eLF2traysrKpKSk8+fPQ+FZPp9vMpk2btx45coVUGIlEsmdd955 - /vz5xsbGqqqq/Px8DMO6urqOHz8OZV2h9h6UNEMREziOFxUVxcfHnzhxorm5edGiRTwez2Kx/P3v - f9+/f/9//ud/Ll68+N1332Uymc8880x2djYUAIuLi4MipZ999ll7e/tTTz21YMECLpcLvMpQ+KCi - oiIjI+PEiRPHjx9XqVQ8Hs9oNP7zn//88ssvn3zyyccee+wf//iH1WpdvXr1nDlzoP+wAtDRHAix - OQ7Qqy1btqxdu9br9aJ5K5VKZTLZggULnn76aaCyg3W+tbX1008/3bt3r8fjUSqVXC7Xbrdv2rRp - aGjoZz/7WVlZGUSAs1is/v7+L7744tSpU0aj8YUXXgCHHjJhkyRpMpnWrl27efNml8t12223xcXF - xXJgLUmSCoUiPj4+uv6l3NzcGx1PR2MKIZFIJuq3oEFjdoNWs29GwCI4VkXQaQZSU6+nEeS+ph5h - MpkrVqyQyWQCgcDtdgNfMbjRID4WgswntCX4/X6n08nj8YKKVHs8nqCSCdPMAlJQUKBWq6O7vREE - 4fF4gDSS3minHFCRDqVF4CPlSdPS0n744QetVgsH77jjjoMHD37zzTccDqe+vp7NZjc1NW3fvh3c - tmjeFhcXV1ZW9vb2zps3D2K2MQwrKCjIzs4eGBi49dZbqfWHqYCXazabT5w4kZ+fX1lZyWAwUKEm - CFlnsVh33333999/f+7cub6+vvz8/IaGhm+++ebDDz+0Wq05OTn79u37/vvvzWazVqs1m80EQSxf - vvzChQubN2/+5JNPHnzwQZ/P99lnnzU1NYFdDIp+UT86+OSLiooaGhrWrl37/vvvm0ym3NzcAwcO - bNmyRSaTyWQyiNk5dOgQj8e7++67DQbDgQMHuru7582bl56efvz48WPHjpnNZqfTmZqaevjw4ePH - j2dmZhYVFSUkJKxYseLdd9/97LPPXC5XYWHhrl27NmzYIJFI8vLyRCKR2+3es2ePXq+vrKxks9kX - Llzo7e0FcrVpmg0xj1j2S2i1Wq/Xu2LFCqgJj+N4f3//1q1bv/7667S0NBTiZLVaP/vss23bti1e - vPjOO+/MyckRCARer/fUqVOffPLJX//611deeaWioiIhIYHJZPb29kLkxYEDB5YuXapWq1FQD2wN - wBcI5rBYHhwEn88XdU8y2K1mxHDRwDBMJBLBt0O/Mho0ALSaffMiRnShsULWJwq/3282m3k8HrCp - YRjG4XBAJRYIBG1tbcnJySB5K5VKoVCIYdgkdHuSJKk+ELSXuN3uIIlkmoc3MTFxrOzxaQA+UtBr - zpw5CQkJseylmYlAdrGamhqlUpmUlAQjDP8uXbrUbDbn5OSAcJOVlfXyyy+/+eabH3/88ddffw1B - FllZWT/72c/Ky8uR2CoSie69916/379s2bLExEQgS1OpVM8//3xNTc0tt9wSJqgVkvEgZDonJ4ca - H4tCKtRqdW1trV6vh4CRZ555RqlUrlu37q9//SuHw/H5fLW1tVarVaFQgN9PLBY/99xzBEHs3Lmz - qalJJpMRBLF48WKTyVRYWAhFAeVy+dy5c1NTU1HHWCzW008/zWKxvvvuuz/84Q9CodBsNmdnZ7/4 - 4otz587l8/kvv/wyg8HYtm3bnj17XC6X1+vNz89/4oknMjMzn376aa/Xu3Pnzt///vdsNtvpdBYV - Fa1ataq8vJwkybvvvttms3399dfvvvsuOMnLysqeffbZ2tpagUDwy1/+MikpaevWrZ9++imPx1Or - 1Q8//PADDzwASaSxsK5GFzAIUKKC/PcqwbEAyK9etmzZAw88gA5WVFT85je/2bNnT21tbXFxMUmS - jY2Nhw8fLisr+/nPf15aWorOLCwsvHbt2ueff7558+aUlBQUIcJgMNhsdmdn56lTp6qqqmQyGaIl - 93q9hw8f1uv1DAYDSojH/iSx2WzA+BBFUUGlUiHSxNgfMRoQAEW/LBo0EGg1++bFNK+D4RXp6+8M - k8l0u91AyIQYaGCHxnE8KSkJyvyIxWKUwzmJzQC8tcg0gIppZ2VlicXioKyk6dxsent7TSYTFGOL - FlgsVswW9Lp+O07UwePxfvrTn/J4PKlUSn2QOXPmpKamogoOJEnW1tb+13/9V1NTExTZSk1Nramp - SUlJQfowaNq1tbXAQI7c4yRJLly4cNGiRdjYsxcOKpXKF154AYKlR+0tk8lcvXq13W5PSkoiCCI1 - NfW5556rrq5ubm62Wq0ZGRkNDQ0ul4vJZIJdhiCI5OTkX/7yl3Pnzm1vb4+Pjy8uLk5OTrZYLHFx - caDJ3HPPPbfeemt6ejp6CgzD0tLSXnrppYqKijNnzrjdbo1GU1tbm5+fDwJfSUnJG2+80djYODAw - wGAwUlNTy8rK0tPTORxOamrqz3/+8yVLlrS2ttrtduBRS01NBYuDRCL5yU9+Ul1dferUKYPBEBcX - t3Tp0oyMDLhpfn7+yy+/3NDQ0N7ezuPxioqKgIKRli+piNmhgDWcJEkgFID4i+rqao1G09/fbzAY - cBz3eDzbt28fGhp68sknc3JygOcMQkhYLNYDDzxw8ODBY8eOLV++HAq5YRhGkmR6errD4YBEbolE - gorE9vT0nD59WiaTsVisrq6uvr6+goKCKI/CeHC5XHa7PbpTOiUlJUzqCo1YA9BYYrSmTYPGCGg1 - m8YNBDVwCCLlxuJTARbWMKVQwgACShkMhlKp9Hq91FtkZmbCHyUlJRaLBYJO0QYwoW0A/A99fX0W - iyUvLw+8NFarlcfjQa4pMI0DXC6Xw+EQi8XTllfW3d2t1+szMzOjuLfBS4zNgl7w+mb6xp+QkBD6 - CCRJIiJDbEQTqKqqqqqqCjqN+l8cx3k8HmTRU7+I8OW7EZhM5rh0sklJSagdIJqaN2/evHnzUH+o - nyFo2nFxcffee+9Yj5ybmxsqvZEkKZPJ7rzzzrvuugs9JrKqgIa/atWqoL7BdxofH7906dKlS5cG - HYcLeTxeeXk5tY4gGkMIB1i8ePHixYvhCOTMx+DMjwpgoIAQER+jynR0AXFJJEmCVcXhcDQ2Ng4P - D1dXV2dlZTEYDIPB0NPTo1AoID2Yuq2QJJmdnZ2Xl7dt27b29vbq6mooloFh2EMPPaTX648cOXLm - zJmCggLEXn758uXh4eGGhobBwcEzZ86AJh/VARgfcrk86tEZ0a3fER5BSw02RXYl9LyxP0NCIRaL - kdE22n2JMsIbGqjTJhIfAG22mLmg1WwaNxCQsQmkwVBRWSgUBqVDw/Lh9/uNRuNE+TNQmiiDwYCK - uz09PWq1WqVSBQl2JElKpVJIHI2wfTKEURzHcZVKJRaLUfaRz+cDW7tWq3U6nRiljrdOpwO/OjYt - Ww6q1RRFTKGoMeUwGo0CgUAgEMRm9yJEaMEhjKIbo0dDOdLoqlFrAqOfguZ5hN/IuFolivXAKWnb - od1GHYBz4CD6Cc6EP0Y1AaCW0Tngb0RtAllA6FBAB5BVKGiUQjsc2lW/34+uBSqscQftpgJ15GMK - HA7HarXu37/f5/OpVCqPx9Pe3v799997vd5bb70VqlG0t7ebTCaZTAYJC1SA91utVvv9fohgwnEc - phyXy122bFlzc/OBAwdqamqA4IAkyebmZgzDampqGhsbGQxG1OkqIwHU74h2L2IUJEn6/X5qNkRo - RdJxbbvI9xDaLI7jEyWOiQWAN5vWCeE9jlU3B0RHJpMJ60DQPjgq/H4/vcXMUNBq9qxFdFc6uPvA - wAAwNrFYLA6HE6ZLTCYTaISDGsEiUNuEQiHazOx2u9FohDhYqvMBx/FAIGC1WgUCQYRBaEEaCIqV - RSfgOA5Fg0iSzMzMlEgkUGjUYrGIxWIWiwUyyvS8heiWXUGIhT6MijCF4mYQwlSxHvUIfEFjXYXj - eOhPkTuvxlUVgk5AnQyzDqBuj9r4WEIGugpk1qBrUW7nqF0Kc0dqh7GQuY2uDW2WBiBmvzgmk+nz - +bZt23bw4EEej0cQhNlsNplMy5cvT01NhYlkNpvdbjdUfQu6nBypS09ddWEOdHZ23n333cnJyadP - n75w4QKkJ+h0ujNnzhQVFVVUVBw8eDDWMtXHQsxaSaIOgiAgbsvhcIC/ncPhAPMLRnFRgoOBWs8l - kpbdbrfJZGKz2UDzfqOe4cbAYDAgf0PMfv7TAzDgjvoGIRBSKBTyeDyquTl8azNuMtAA0Gr2bEbU - Vzp8hBkL1GxsNMHL7Xb7fD4+nx/qNBjXyIfahyflcrnFxcVWqzVIMAIHnclkstlsHA4nEjWbIAiT - yYTWQXQcxZQCoEIY6PBUiQQiyadz/KMrD8HdvV6vXq+HBPgodmZUIBnopkJsPvK4vZpct8MbCMK3 - eT2/Tg6zgCxg5gLiHWpra0tKSvx+P4fDuXLlyuHDh7VarV6vxzAMx/GEhAShUDiWqomH1LZAIcQC - gSA9Pf3kyZMHDhyoqKhIT08/duxYf39/XV1dcnIy/cZnOuC9m0ymo0ePNjU16fV6v9+vUqnuv//+ - 0tJSRE2C47jRaATBJlSDAtnAaDRarVaNRgPSEUmSTqdz69at27dvT0tL+8UvfhEfH49FEDcUO4hu - +bfYgcvlOnLkSHFx8aiV510u18cffzx37tz6+no+n9/a2upyuWDyjDp6Pp/v8OHDmZmZqampE7La - 0IgF0Gr2LASs4EBEgYiRphmwWKhUKovFwmAwRtVsQS7p6uqyWq0FBQVA7IQswTiO2+12v98/btkt - kiSR51kikfB4vCDXJTAMEwSB2J4m+iDU/yIBC6dUrRgcHARW89TUVKiURpKkx+MB7tmbZONxuVyd - nZ0Gg0EkEmExpkLEVGdo3OSA0ndQni3afbkZAfvF8uXLV69eDWFWAwMDf/7znzdt2gSV3tlsdnZ2 - tlKpvHbtGpCfUW2maOVnsVihEVgkST744INNTU3nzp27du2aQqH4/vvvCYKAemChEVIxixjvXiim - gYAD3rtOp3v77bd/+OEHRBHn9XobGxtfffXVW2+9FZQlr9f7pz/9qbS09P7774eAO4wypDADt23b - 1tjY+Lvf/Q5VWd+9e/d///d/+/1+pVJJteNE3WVCI0LAmzUYDG+99dbKlSsfeeQRsViMHNHwa1tb - 2+eff261WsvLy/l8/vr167Va7e9+9zuoIYJkYLgE5tLbb7+dl5f32muvQUZk6Dlj9YeeOVEHrWbP - WjidTgaDMTlSsakCm83m8/kQVj3qp47juFKpBN04dIMEbTl8qAy0bLVauVwun8+HMC1quDiGYVqt - tre3Ny8vL3KXJo7jwP7icrmCCvZi/76owS3AFoAeE/7o7+9ns9lqtfom0bRxHI+R2HUaNGITsDI4 - HI7e3t6EhASlUnmTfC8xFX7MZrN9Pp9Wq3U4HCwWKxAIJCYmNjQ07Nu3b3Bw0G6383g8lUqVm5t7 - 4cKFnp4eKOJIrcLl8Xh6e3uFQiH4G4NIB+rq6qqrq7///vuuri4cx9vb2ysrK0tLS5GvDznJY/nt - QwZptHsxAUD+6g2NrYXv95///Oe6deuWLl166623grO6u7v7o48+euedd/Lz8yEh32w2b968eXBw - 8JZbboG8OWzETA9xwsDvkJSUBNIFED1s3769r6/vL3/5y9KlS4HBniTJ/v5+pVI5qoxEI9YANjiZ - TOZwONauXbtgwYL8/PygX9evX2+1WgsLC6FOR2lpqclkAuIYJEAijhImk+n3+3t7e10uFwTkYyPm - PJIkoWgCLCyhcik1+hKL7dVmFoNWs2ch4FuCwN0gW/s0g81my2SysX6FfqLyWqE/QXXrSICkFnCA - S6VSpNniOC6Xy0mShNYitO2hhamnp0coFCYmJlI95EAkLhKJQKtE3CdOp7Ozs9NkMqlUKhzHBQLB - TRJDhY9E7xcUFMTHx98Mj0yDxiQAnwaHw5HL5TOdkG9CCAqxji7A8utyuXw+H+TzkyRZUlISHx/v - druBrZPJZM6fP3/Pnj0nTpxYuHAh1HID1YjFYrW1tbW3t6vVao1GQ1IKg6MXumTJkkOHDjU2Nh48 - eNBsNtfU1CQnJ2MYxuPx/H6/w+EIBALR3Z3DA8fxwcHBnp6ejIyMICtzDAJxwQgEgri4uBtk7SVH - ahB89913Uqn0V7/6VW5uLioaKpFI3n77bTRiXq8XwzBI4UZSB1RFYbPZIE7cddddHA4H6kSANmW1 - WnEcz8zMhILhgUDA6XS+/vrrq1atqqmpCWK8pxGDgBkiFouXLVv2ySefHDp0KCUlRSgUIjpPm822 - a9culUpVXV0NKQYPPvgglUSTHCm7g42kTML8oYaUA98tk8n0eDzg4IHLqVo6aOCIBPRGW6BojIXY - XeVpXCcmGh09VZho4BYiLprc7eBGcrkcbsrj8ahsRvCrVCqFQG5sIk4VWNqUSiWHw0ENwuVXr149 - f/58ZWUlbJYqlUomk7HZbDabLZVKUdVuMDFMlaYd+8E/TCaTw+Gg7STa3aFBI0bB5XLHLYc2yxAj - CwJsTykpKcBSiURbHMc1Go1IJLp27ZrBYEhMTMQwbMGCBfPmzWtsbPzmm28efvhhtVotEAi8Xq/J - ZProo49MJtNTTz2Vk5MTusIHAoH6+vq5c+fu3bvXaDRWVlYWFRWBil5YWHjo0KEZIfKiutlR3Hom - ZJpxu903elRBiXI4HJCA5nK5mCN46KGHSJLMzs4O8hza7XafzwdxAWAFQOYVLpdrsVj4fD6Hw7Hb - 7SdPnmxra7Pb7evXr3e5XJWVlQRBDAwMNDY2stlssVhcWFiI4s9pxCzgBT344IPr169fv379bbfd - lp6ejr6j8+fPm0ymFStWgPsH8vwtFktycjIkV5IkabFYtFqtzWbz+/0KhUIikaAaHBiGEQQBPDh6 - vb6pqUmtVhcVFaWkpCBzGFD0GQwGt9s9PDwMlflkMlnsrzmzErSaPWsxtTFpkbeG7LUT9RtfD9Dy - MWoBEtR5kiQjr4uAj6SXBzWF43hcXFxBQYFcLvf5fC6XC/jSILAwKSlJJBLBtU6nE3G/XT88Hg+T - yYwkmXP6wxHJkTJm3d3dJpMpISFhmjtAg8bMQuzHDF8ngh5Nr9c7HI5odQYBFvD09PQgmkaUa331 - 6lWdTgcHExISXnzxRbPZvGHDhlOnTlVVVeXm5g4PDzc2NnZ3dz/wwAMrV66Mi4sjKaSYKCZcJBJB - +S6Hw5Gbm5uYmAgidXx8vEwmi/0AYJIkExMTs7Ozo5vx1NzcDIFj4fsAv6anp49VuXBKAM2yWKz0 - 9PTz58+fOHGitrZWpVJxOJxAIMDj8VavXg3GFGyEwPXy5cuffvppR0cHVNxMTEy89957ly1bBln6 - +/fv37Jly69//eucnJyWlpb/+q//unbtmt/v37hxY2tr60cffbR///5vv/3WbDZv27atra3t9ddf - b2hoQLZsGrEJEDVLSkqKioqOHj16+fJljUbD5XJhkdm7d6/H46mvrwflmcFgbNiwobGx8Y9//GN6 - ejqGYTqd7oMPPti3b5/b7SYIQigUpqWlmUym1NRUaN/r9f7www8bN268cuXK8PAwj8crLi5+9tln - a2trQQB2u91Hjx7dvHlzX1/f0NCQXC4vKyt77LHHCgoKbpIExpgCrWbPWkzttwTBdWw2e9xKuZcu - XVKpVElJSdNZ6I+aFI2NxlsGf0B1blT4OsKWqS0gFzeq7AVmBZ1OB5xzfr8fXQLBYFMyAiRJms1m - yAAf9+Sg0sHXc1NsghMptHYoDRo0QjHrv5EgP2R3d7dWq83Ly4tWf6gQi8XJycmpqamQGwlgs9kV - FRXg9kFvp7S09PXXX//000/37t177tw5qVQaCAQkEslPfvKTRx99FOyJ6HKVSpWVlYW8RkuXLj1x - 4oRYLJ4/fz4UZ4LQp6qqKohliPEAJZFIJJFIomsO6Orqgo01EkAI7g0FREOsXLmyq6vrj3/8Y2Fh - 4R133FFdXS2VSkUiEY/HQ1Z1OLOjo2NoaCgnJ6eiosJutx84cKCrq6uoqCgrK4vBYHR0dGzfvv2+ - ++5LT0/XaDSrVq16//33e3t7n3nmmZycHDDxQx6cXC4Xi8WgusfynKEBgE975cqVzc3NGzZsKCsr - S0xMZDAYLpfr4MGDMpksLy8PrDMYhrW0tOzevfvFF19MS0sLBAKffPLJRx99lJCQsHz5cqVSeeTI - kT179hiNRmgZx/Fjx4699dZbJpNp2bJlc+bM0el069evf/PNN//2t79B1MzOnTv//Oc/YximVqur - q6uvXLny5ZdfWq3W3/72twkJCfT8mWbQajaNcQDrBaiREDU36lcKpwExDMguRqNRJBJFnl99PUBd - Cr+CAOf5hCJnqA2CrisQCCA0HeQPsDgWFhYePHgQEqvQ+VMbty+RSMLn8iHSC7vd7vV6JRLJdebU - gaEk8hYgHSi6QYY0Zi4i4U2lMYMgEomAxxvibN1uN+Q8R/H9wuJfWVn529/+Nj09HUU/QeLSU089 - 5XQ6ge8XjhMEUVJS8vrrry9duvTy5cssFis+Pr6wsDAvLw+2NqSFpqSkvPLKK0BrB3fRaDQ///nP - jUZjVlYWulFpaalGo4Esp+l//AnB7/eH1gyfZkxolKYhQgQclffff79Op/vyyy9PnDhx9uzZ5OTk - zMzM0tLSe++9F2hc4ExIt16yZMnLL79cUFBAEMRbb721Zs2aY8eOpaamcjgccC2CCJGRkfHTn/50 - z549165de+ihh8rKykiSfPTRR0tLSx955JH6+vpf//rXycnJsZ8nTwMbmYR33XXXmjVr9u7d+9xz - z6nVagaDceTIka6urttvvz0hIQHtd2AeAnNSZ2fnN99843K5/v73v1dVVfF4vIcffvgPf/jDhg0b - wIHh9Xo/+OCD/v7+N998c+XKlbAKKRSKP/7xjzt27EhNTSVJ8m9/+5vNZnvrrbfKy8sTEhKGhob+ - 9Kc/HT58+OrVq0DZSGM6QavZNCICSEvjOmZZLNa8efPABM7n82ON4oXJZAZRsk10Y0bn4yM1XSDz - SqlU8vl8q9U66ZbDA8dxquNlrI7BmVDF7XoGH/Rks9nM4/HGDdijwmAwxEJoKI0ZB0jogIl3/YEY - NKILWCFFIhFkD2EYlpycHBcXB2pb1ENe1Wp1kFcH/gY+M+pxiAFWKpUrVqy49957MYo1E/v3B5FI - JPPnz8f+PfQJSKcxiuNaKBTOFOo7fKZVjpiGrsItRCLRCy+8sGjRotbW1oMHDx47dqyzs3P3hKwy - 6AAAIABJREFU7t3Dw8MvvvhiQkICQRAqlSojI2N4ePiFF16YO3duIBBgs9llZWVsNnvfvn133HGH - UCiEWQSGJ6/Xy2KxIDvX7XYD8bhYLC4qKuJwOG63WyKRICYtGjEOyOEXCAS33HLL2bNn9+/fX1BQ - IJPJtm3b5nA4li1bRg2ZQUnXGIYdO3bMaDTOmTOnvr4ecrA1Gs1LL720Z88el8sVCAQ6Ozubm5ul - UqlGo7l27RrcKycnh8/nb968+YEHHmhpaenu7l65cmVNTQ2UhUtJSfnNb37z0EMPHThwIDc3VyqV - 0rNoOhFbWhCNGMSoKcpjncZms4E8BsMwiURyPfedWo/oWEovImDDR+gcwzSC47hcLkcrFD7Cc0aS - JGReUU+GpXMSYspY3QhiKx31HDjI4/GmJHwOCFEjORPuy+fzc3JyZnqNItoVP/0AHdvpdLpcLqFQ - CHXXacx0CIVCSHIhSVKlUonF4p6eHplMFvXARXCwh2b0EASBtgN0EFH1oryksVJygug/cRxHZgWq - 7g0JmbG/yMD3SK+HofD5fFwut7KysrKy8s4772xpaenr63vnnXfWrFlTVFR03333MZlMHo+XlJTE - 5XKRIESSZGlpKYfDMZlMIC0gkw3MOpTXDVZy+NXpdBIEYTabgbQ8xlP6pxnhJ+eE+POmHNCx++67 - b+3atd9+++2DDz7IYrHOnDkjEAiysrLAnkJdf2BlsFqtJEk+8sgjsJjA+pmSksLj8dra2iwWi9Fo - DAQCOp3ut7/9LYQ2MBgMp9Pp8/k8Hg9BEJcvX/b5fMXFxTwez+fzQUhFQkJCSkrK7t2777//fqlU - GssfNfooYraHEwVt0qAxxQBJBbvuNW6qItagGx6Px+v1UrsEf7vdbmAvwyIrORMqHvH5fIFA4HA4 - IH0dhUw7HA7YGic6DlBRJvQ48p+bzWar1Qo7LvrVbre73W5qgvr1AFkQIk9ixzAMpM8ZzTSOZi8V - kUwMGpMG0rF37tz51ltv7dy502Kx0AM+m4DjeE9Pj06nG5fdY9r6MypvCIPBGDWmHRGDw4Vj0QiF - tgkXBrnNZ0SVRyAc6enp8fl8VG8bDb/fPzg4aLVawbYul8sXLlz4xBNP/O53v8NxfNeuXRaLBRtJ - MUtKSgK6O5j56enpaIscFTAxqKZ8mC3hr7o5QRAEENAGHUeWiCCRb5oBAltmZmZFRcW1a9cuXbrU - 1tY2ODi4ePFicDKPtYZgGAaGSACDwfB6vcg2x+PxoNJbIBAAFh6fz8fj8Z544onf//73ycnJXV1d - Xq+Xz+dD7RuYPwRBSCSStrY2g8Ew7SMxPqhDMfvIQWlvNo2IAItCJBamIGfvpO9lNpuhpOSU7C52 - ux1koCAKMdjGMAwDtnCBQDAqVzm1b0HB82B0hLRDt9ut1+vdbjdYGScqTsGDW61WWCLH8mkDSWnQ - sFD1/wnFwKMk88j7OWo7GIa5XK5Lly7pdDqUtXg9bU4zYBxcLheGYbCToZ8mWqOORuQAHdvlcu3c - ufPdd9+9cOFCd3d3Tk5OaWkpNtOm0CzG5EQfiH2Fv41Go91uT01NnRFK5qi4qfQckiShDtD0O94l - EgmTyYxB3R72CL1e/9prry1ZsuS+++4TCAQEQfj9fhaL1dDQIBQKwVMN+4XL5XI4HFTvHOTfhlZZ - Hxdg/6WNj1R4vV6j0ThqaAxUeOnr60tJSYEcxqj0EPDoo4/u27dv/fr1GRkZLpfrrrvuiouLo55A - 7R7kBWzatOnee++FpZIkSRaLFQgEkpOTBQKBRqORSqVMJvPNN98Ean0Mw0iS1Ol06enpPB5PKpWy - WCyLxeLz+UCMRDMw6lQL4QHeqZ6enjlz5qCyuLMAN9G2QWOi8Hg86LOEGe/3+6dt8+NyuVPC9oGS - qfh8PlV3QvHVMpkMPun29natVhv+AcGIGLrbgWLGYrFQRrpIJJJKpRGWT/B6vcjyKhaLxwr5hhXT - 6/WGeq0FAgGXy53Q24HLR32cScPlck3CgR8LQPZvIKSh/mQ2mz0eT5T6NZtB9WP/5S9/uXjxIhjC - kGRAI0YAugSS9SN8O+CEQflEoa5dGjELkiQVCgUkSU3bKwPttLy8XCgUxuw+wmKxTp8+/eGHH7a3 - t2MYBoZ7u93e2NjodruB2wx6LpPJhoeHnU4n2q8hFIJqrwkaW/g16CBKaqMjrahgs9lyuTyU0VYg - EMDxqKdmwHyurq5WKpX79u378ssv5XJ5aFUt5LVmMBjz5s0Ti8V79uzRarUQB06S5MDAQCAQGBwc - 1Ov1cXFxMpkM0hays7Pz8vJyc3P1ev3vf//7devWWa3We+65RyKRnDhxwmKxIMHSbDZfunQpNzc3 - jCM9ioD+sFgsh8PR2dkJMtismdi0mk1jdIB31+12UyPATSYTss7eUJAkKZFIJhSxHB6QrhzqjqB6 - KcMrnPCTVqvVarXUYCTY5+Li4qB9lLMXof8T2rHZbBAHDs7qUPsCddGhGjvQwYm6W1EiUGdnJwS5 - TQlmuhgtEonEYvF1UvdBEt1UdWm2AunY27dvf+eddy5evIjjeGlp6WOPPQbVbqLdQRr/n73vjo+q - Svu/d/pkaiaZ9EnvBVJIaCGKgIAiICqKbVfXFV9QKWJ5XfVddd1i22Wt79p9wYLIAoqgstIJRVoK - hFDS6ySZZHq/vz++vzmf60wypExCgjx/5DOZuffcc095zlO/z/8nl8sFjudyuRwOB6KI/YtBYAKx - sbHBwcEUi039mrWCMUF8Pl8qlULir6+vv3jxIilROWLU0NBgs9lG4VECXVetVhcVFdXU1PzjH//Y - tWvXmTNnvv7667feeutPf/qTSqVC7ivtASshChXuDQoK0mg02D6U59Rmn90TJ05kG9kZhpHJZACL - rq6u7urq8jIBMx7kyJEbhVFDXC4Xvl8yejg1srOz7733Xo1Gk5iYOCAA14ATJl0qlSJdv7m5ee7c - uewqgGyCvSArK2vSpEkikejVV1+tqKjQarVlZWX/8z//09XV1dnZCSnxtttu4/F477zzTmlpaVVV - 1YkTJ5577rnKykqJRMLlcidNmlRYWLhz587du3e3t7frdLqmpqb33nuvtrZ24cKFYWFho21bMQyT - kJAA45RAIFCr1dg1Q+kn5NtREgNyNWj8EjQKDT8jQzRN+1ZpFggEIwAejqxsgUAQQFH7kvPIMExk - ZCQb/tH3AoqitFotRVEKhYIU60InW1tbzWaz1Wqtq6vr6enBSdn/lQMUcfDZXrvqcrk4HlKpVP1s - 1j/pdLpjx47V1NRcd911kIYHTYSXXXaONkTqtSx5/6vvYO50Op1QKAxUvsOVSnCQ7tix4x//+Edl - ZSVFUePGjVu5cuXs2bMvr2zkRb/aI4BipbEcOXKEw+HExcUplUoyOxBwiRbhdS9N0yEhIUFBQWAO - QBofjuQLX57zq52voRBUAg6Ho9FoTp48CeDrgEBb9z/jAEulurraZrPxeDygbY/C2XzsscccDseh - Q4dOnz4tlUrhspZIJE888URhYaFAIIAybLfb4+LiAOhI07Tb7ebz+RqNxm6346xPT08PDw+XyWS0 - J3ktLy8vPj6eOBgYhhEKhYsWLXrzzTefeeaZm266admyZQgxwN40Go0Wi0WlUl1h1Rm8NrUfqYz9 - E/GF8Pn8sLCwwMqQgyYul/vQQw+VlpaeP39+4cKFSqWSrGrww8LCwn379kkkEvi0V6xYkZyc/Pbb - b1dWVsbFxVVXV3d2dsLtBAfMPffco9VqP/vsszVr1ohEIrvdrtVqlyxZcuONN2LDPvHEE4888sir - r766a9cuqVTa0NBw9OjRlJSUuXPnjqqzFUR7kAucTqdSqczIyAiIgw2aNlYIsdldlncfw2r2yEg/ - g8tJuwKIpml2yWvag4k1rA/FnHZ2dgI7BMdPQFqmL5VYzjBMVFSUnzB14p/hcDgANSE/QWGA4Tk6 - Ohrs8pI9Z2f8+k8IpyiqoaFBIBCEhYXBzgewcZy1g16ibrdbo9GEhoZ6ZQoNjrys8mOXfNdJ/49q - MptXmNATWCKW5sOHD7/11lsVFRUUReXk5KxatWrOnDn+C9eNPP3K5xHbYfv27fv374+IiMjNzR03 - blxKSkpMTAxJeoQQ47v92WEdVqvV4XBQHv9brwhkg+gbfOxsodwrWJRANw/xWb8ScjgcJFwrNDQ0 - PDx8iF5lTDexyPSnqaSkJOjYFEVlZmZe8nAMLAFrqq9fIUjk5OQ8//zzBw8ePHv27LFjx6655hqV - SjVu3Lji4mJoCKDZs2fn5eWR4xWDcMcddwQFBeHLSZMmPfHEEzk5OTDv0jSdlJT03HPPaTQaysN5 - GIa5++67LRbLjz/+6BuRB3O/b+D0WCe3203g/Ql7oXy4sZcfG3zg/Pnz77///rRp0yIiIi47dBx6 - qFarn3766fb29uzsbLZsgA/z5s1Tq9UpKSmQ6PLz8zMyMsxm89GjR2tqakJCQlavXu1wOFpaWlJS - UrhcrkwmW7VqVVJS0saNG/V6fUxMzEMPPXTTTTfBAcMwTF5e3ssvv/z555+XlpbabLbg4ODrr7/+ - vvvuS0xMHJ12q9raWuTl8fl8YA8NsUGDwQBri0wmk8vlWEt9nVPDTWNYze61IEcAiRgL+Xw+W636 - 9Tg3LtebAsWBuIsDRTjsfQ8kxgMMjuIHfZ1YOF8RlkNc+oyndHZzc7PNZgsPD09PT+9POSJIh0SC - uaSqXF1dTZK9jUZjU1NTREREcHDwxYsXjUYjRVHR0dH9d7qCQkJCQkNDh7iDwLMwehRF9RrxPrZo - 6J2XyWQB6ckVSQzDYKmcP3/+zTffLC8vp2k6JSXlkUcemTNnTj9NVCNGgP0XiUQBZ0djgsD05HL5 - /PnzKyoq9uzZU1paqlKpIiIirr/++pkzZ8bHx0ulUpFI5CsQU79Ubg0GA5JUbTabXq8HWMYQ9Teo - 0PhgMpm4XC7K5FAsSMjRs5ZGOWEG3W53e3s7zLjt7e01NTWJiYlDYelOp9PhcJATk5hXem0Qiycx - MVEoFEIyJrWvRoz6UzTL7XYnJycnJyfTNN3Q0BAeHk7CoNirbvHixQaDgUSK4ctZs2YRyUqpVC5Z - soT9rNDQ0FmzZrHVMISpr169+vbbb0dVPHZrgSreOarI5XI1NzdjFqRSqVQqxZEBBbXXfc0ukicQ - CDo6OrRarcvlGoHoy/6Q2+2eOnUqPrN7TpbB9ddfT96LYRiRSPT0008jRjI0NDQsLIytnLvdbplM - dtddd02fPt3hcCiVSplMxuVyybpiGGbKlCnjxo07efKkxWKJiYkJDw9ne9FHGxFEdMDaQTYeSoMn - TpxYu3Yth8MpKCiYOnVqXFycSqXC6eDHajNMNCqW4ECJYSFRDz2oyb8yabPZvH799fi3R/4dCdMJ - rNscU9zV1SUSiXqFnXS5XBaLpaenByUQfC9ACz09Pa2trcHBwdBO2ZaXtrY2o9EoFAq7u7vB3P2v - K4fD0d7erlAoEMNzyaHOysri8/mAagMnwhCdP39er9dTFJWUlDQgpzRkYhJU0/8bfdthGKaqqgoA - 6WlpaQgNHXSDV+kKJujYFoulpqbmn//85549e2iaHjdu3O9///u5c+eOKoB68PmWlpaWlpbk5GRU - gx8lfRtJwgafPn263W7/85//fOzYsQsXLly4cOHcuXNbtmyZOHFiYWFhdnY28G9h+2aLtmTEhEIh - VBEOhyMUCoeeeYtKNlqtFuVkq6qqRCJRXFxcampqUlISXKA0TTc3N/N4PJVK1Ws+yOWi0SxF4ATk - cDgWi6Wzs3OIZ0RXV1dpaalSqUTkVFBQEAIcekWowrBUVlYiZN3hcNTV1fVa3nI4CK/Z1NQUFhbm - xwaEo5NURI+JiSHhOWTlEx3YFzAZIRhEHfJaCYxPWXVsQKFQmJiY2GuXriTHD97FYDC89tprBoOB - z+ePHz9+8uTJkZGRYrEYzl6q7/AZBF/A+jaqPPwwYFF99Mp30pHRnZycjH8Bx0N73Iqor44ATFyA - 5cc2YLndbqlUWlxcTJ4yCqH7Kc8WKCws3LVrl8ViMRqN5eXlEyZM8Mq6HyhpNBoOh7Nz5859+/Z9 - +eWX2dnZ1113XVFRUWRkJOrYj2Qw+ZhUs0G+NY0GQX4C2DDugM5nr36TyQQQ7CE++ir5oeE4OYKC - gnwlLeLK3rt3b0JCQlxcHPu57CPQ7XY3NTVRFAXjMZgauYDH4/F4PIVCQfYw5Xfrut1upHD3x/VN - URQSjfBZLpdnZmbCg0SKmvJ4vIGeK8jEG2I2NYQAoOXx+XyVSkWCfkdPnravoBOQ1RWoFxw9QtKw - SmyMp3bXjh07/u///u/w4cNOp3POnDn33XffpEmT+mlvGjFCT2CVC0iG6tglmqadTue1117b1tbW - 0dFx/vx5iqK0Wm17e/v58+e3bdsWFRWVn59fUlIyfvx4CMRQOZBKg5E0m80WiwWljxQKxRC7BB37 - 2LFj77///vHjxxmGsVqtOMcTExPvu+++mTNnwu/3wQcfiMXiO++8MzIy0o9ERRQeqm84SV+uzlaZ - ejXOUqw9xb4AYrGv4OGnG4ynpib5tT8DNQhiPBUowsPDMzMzB+3KRoe1Wu0rr7xiMpkSExNnzpw5 - derUkJAQgm/K/LKcJE7VpqYmRJax3eAjRl1dXQqF4pKR6nAesqPSfKULgnPm9b1X0VMv96avdgEl - DQ/qS1K9vBTAPrjdbpFIZLPZduzY0dnZGRoaGhcXN3Xq1KSkpKKiotDQULlcLpVKUTWNJCPgQ0FB - AeIgCMjcKCHab+Ct16TjjfAWFEUBWNfrFkhuRLv2WjPEEkTiffzEaY4Ggn2Ey+XabLauri4ELwyF - EhISHnvssaqqqurqaq1WW1lZuX///sTExNmzZ8+cOTM4ODgkJEQsFnsFk1PDs5tGqZoNiIi+jBlE - AR66WOZ0Ogm6QK9N+arTFouFy+X+etRs2JVHWMoM7FpHa32F8jocDpqmk5OTo6OjEVXiZWzGOrTb - 7UFBQSqVSqFQAHGXz+cTLoamIiIi4OgGBySN+C5UgUAQGxvrvzYgW6qrqanRaDRYpQKBQCAQwJpO - WmbLZwMaFv9D3U+vC1HybTYbJCRyI1YO8WD0ejs7Hc5/apwf8k3CJN+wvySScT9H3s83AVSzR0BU - 8j+VbJWAbVUMVMcgjwJXfO3ateXl5UKhcObMmY8//nhubi7J4B09phmQSqUiCW8Uq3ujQbQdDqL7 - KKWGdJuSkpLPPvvs7NmzEE0oitLr9Xq9vr6+vrKy8vvvv8/Ly5s1a1ZhYWFUVJRCobh48WJnZydu - V6vV4FpDV5zQw5MnT7766qtnz57Nz8/PysqKiYnhcrknTpzYsmXL2rVrVSpVSUkJRVFlZWVBQUFG - o5G4H2kPkQYJuAb7ZdkciWJVnSUF5xgWyLPXLZTHQ0UCnnGGEl5ttVoBmoV8NHaDFEVBRGaLQORA - gejczzqRgyCaphGeiikmAcmD2Ji4ZdOmTcBwKisrO3r0aFxcXHp6+qRJk4qKipKSkrgewutgAPPy - 8kQiUVdXF8UK/h8BwoMQCtGfhxIFr68Lev3JV4X2fwFpatRa+nyPQrYEdcmRZB9M+CsUCp966imx - WLx+/frm5ubm5mZAzUVHR6elpRUVFU2ZMiUyMhIFotkHOorAQfyorKycO3cu8KtHA7v23wffXy+p - GEOX9nPNKFet2UQiVjB9vsZHr+vJ6uq1NZilcnNzb7311o8++qijo8NqtdbX19fX1585c2bjxo3h - 4eGzZ8/OyMiIjY1VqVRyuZzt3L6kPDbQFTXq1GzGA9UrFov9pzgGxJXN4XBIoWM//SH/0jQtl8tH - VfjZsBLDMF1dXTKZjA2HNkbJd28wnvR7l8uVlZVFTCcki9tisVgsFplMBoh1SHIMw3R3d7e3t0dG - RhqNRhj7If04nU7ca7VajUYjoNoBmuolGHE4nEtm8LI7jHxsjUbTayIW3ZsJPCDUTzWb8DsIo4ho - YsPFw5bRl1BCbIpsC+4guup1L6k5xM7ugxBJHuRLNCucHmwXMO9oh7wpmAZbIx1ohwkRDKehNOL/ - 4KEoisfj+alvQXugbkln8O+AEiPZK9Z3u3V3d+/YsePNN9+sqKgQCoUzZsxYtWpVeno6EnPIdPgO - qZ9XGxnzBIaO8iSa9gVgO5QeXnL6+klDaYes9r5uDw4OjoiIkMlker0eC4NI/waDwWAw1NbWHjp0 - KDk5eeLEiTNmzDhy5EhLSwvuTUxMjIyMDJSqYLFYPv3007Nnz/7+97+/9957SfGFBQsWcLncr776 - 6tixYwUFBRKJRCAQ9PT0dHd3E/sdkcvZsElWq9ViseAawplJb8EBHA6H3W5Hm1B6bTab2WwWCASA - 7WFrF6iXYTKZEC4nlUpxvoAx7t69+/jx4zfccENeXh4egQYtFotOp7PZbBKJRK1Ws5Vzu92OR5hM - JhxJARlJQngKj8cLDg7m8Xh4U71ejzztQTSIjXPhwgWn0wkLS1NTU1NT0+HDh3/44YfY2Nhly5ZN - nTo1NDTUS6CKj48XiURwV06dOrWfAV+BoqGHWvwKKSEhgUyiy0M8Hq8/SbbYXGw+6XK5NBrNk08+ - efHixb1795pMJr1e39PT09TUdPTo0Z07d8bGxk6ZMqW4uDg1NRVOMsTQlZaWWq1WPPfcuXMWi2W4 - UXuvUkDIy+iJ9UNsvownqJ7yCHWQvrxkFXbYPE3TQqFwxYoVFovlk08+sdvteAQq8jIMc/LkSZVK - FRcXV1RUdOONN0ZFRWEVEX3bD9ODj63/sseoU7NBUqnUv807IF4FHGz+uapv+1ce4IR/kkgkV4ZZ - oa+lQvB7iErZ3d3N5/MRL0qQJ2AaJLsa6YUo72m1Wg0GA+K3SSMoUWA0GmtqalQqVWRkpBf69CVN - Ynq9ngCcJCUlkdQv37sAzzgcLg6DwUBRFCqp9tU4sT1ZLJZt27ZBDEUKblhYGKognj17VigURkVF - kTEk97rdbq1WK5VK5XK52WzW6/UAwBuQqsDj8YxGo8lkAkocGDGwNJRKZWxsLC7jcDhIZQ8KCiK6 - E5s4HA5CFRCpBXaPKSaTDm5usVi6uroQW4h/CZByP/tMe5LugoODyRYDf/djBeiVOBxOUFCQ2+22 - Wq29vhRFUUajkcfjQTpHchf7AmR/dXd3BwUF4bAhhgaSB0Gi1xCzIBKJCAaB0+k0mUwURSG+2mKx - 4JgUCoUcDsdsNtvt9o8//nj9+vX19fXAg3n00UeTk5N1Op1Xb4GiB1A9jA80nF5LkePF/TCoXi1r - lM8JAg0H5yt+AtgyNGriyUTVaLPZjJF0uVw2mw0hMDabrdce9rNUHp47oEnH3rFarQKBgM/n2+12 - TArEFHQMHMxut0POwC3gTna73el0YreSNrHmSTfwmc0D5XI5+ZfxRC1SHneuy+VqbGxsbGw8efLk - t99+a7FY2tvbiRENm67/L9grof+HDh06evRocXHxwoULg4OD0Qe32y0Wi++///7Y2NicnBwYQBmG - qampqa2tDQ8P7+joAO5udHS0VColMlxLS8v27durq6uhEk+YMGHy5MkRERHgQjU1NQivO3TokNls - njVrVkJCgtlsbm5u3r9//9mzZ5VKZVFRUU5ODuoqoScmk+nUqVP79++HnXrq1KkTJkyQy+Vut7uj - o2PLli3ff/+9UCiUSqWRkZEymczlctXV1X377beHDh1qa2tLTk7+3e9+l5ubKxAIuFyu0WjctWtX - enr6hQsXjhw5csstt6SlpQW8nAHDMB0dHZ2dnbChREZGCgQCnU4nlUovqSwxDAMLFPlAUZTL5Vq8 - ePGuXbt6enrYhjwsko6OjmnTpk2bNm3ixImk9pvT6SwtLQUzkclks2bN6hVOJeDEthSTx40GL+go - J/iQieQDIlb1/jA0qNls5snhcOx2e1hY2LPPPrty5UpkhVAehgO8jDNnzmzbtk2tViclJSUmJhYU - FGRkZGi1WsKRkpOTSajIMLz3JeiKj3saViJeK3LQgCCzkTRJEluOyxBHyV54SqXykUceOXDggF6v - t1qtFMuUjCiJioqKQ4cO/fjjj3l5eWlpaZMmTVKr1SqVyo+WB5BIBGf1c3JHnZqNfvv3nbIZIjWo - dcx4QNREIhGQFQZ670CfOEaJpukrGDYZ88jOwsKKAloPTdNisZhdQgO/OhwOmUyGnAUAJEK7g24A - 6VwoFGKjQs3DBy8R85KriAgrFEX5KehNeVyRARe5KIrq7OyEstEX38F2WLBgwcGDBysrK/fu3VtV - VQUtzul0isVi6F1dXV0cDgfqum8LZrMZY+50Om02m0gk8tLGL0k0TUNtgCaMXtlsNiR6wWUERbGx - sdFms8F4yT4LCTNxOBydnZ1CoVCpVPb09JjNZplM1t3dzeFwkCGPxtva2lpbWzEsCHwYHFSPUCjU - aDTYZYAtEQgEBoOBnDH+3xrHD5fLzc7ONplMdXV1bP8z0SEpimpqasJLcT3l2dmXmUwmm82m1WpV - KlVoaKjL5TIajU6nUyaTFRcXYzaDgoKkUilACrq6uuLj4xFtxePxuru7y8rKnE5nbm4un8+vr6+H - rSomJkYsFp85c6alpeXAgQN1dXWI7NBoNB0dHf/6178aGxvZPWEYJi0tTa1WnzlzBlaSiIgIiUTS - 0tJitVp9dWY+n5+ZmalWq3sdKyJjeb0s8WeSL+F243A4SAmhKKqxsdHlckVHRwsEgoyMjKamJrPZ - DE2gsrKSy+Wq1Wq9Xt/c3AzjUWNjo8Vi8e3DzJkz/U8iuqRUKuFQ7fVXysd8g0m3WCz19fUwZrW0 - tHR1dcXGxjqdTr1e39jYGBUVJRQK5XJ5a2trY2MjRp5gKAC1MSMjIzo6GnJJfHx8aGjohQsXuru7 - KYricrkGg8FqtcKIRtO00WgsKyszm82+0jPJEoTuZzAYTp8+TbE8DH2FUQyUGA9KVmdxX2Q3AAAg - AElEQVRnZ1paWnh4OHFNY+OnpKQAAhovxeVyu7u7v/rqq82bNzc2NprN5uDg4EWLFs2fPz88PJzH - 4+n1+vfff3/dunU8Hi8sLEyr1W7btu3mm29+4IEHEhISHA7Hhx9+ePz4cZfL1dDQUFBQMGXKFLvd - /uOPP65bt66mpsZisVit1s8//3zBggX3339/fHw8RVF6vX7jxo0wKgmFQpvNtmXLlvvuu+/2229n - GOb999/fvXt3R0fHRx99dPLkyUcffXTixInHjh178cUXKyoq1Gq1SCTat29fRUXFk08+OWPGDJlM - 1tjY+Ne//jUqKurixYsdHR3JycmIuA4gz4emtGXLFhjCKIo6ffr0yy+/HBoaWlRUBG9hXzPI4XDA - PaKiomw2m06ni4iIAL/FwYdNRyIgwIKqq6tramp27tyZk5NTWFiYmpqKAKhPPvlEr9cjN7ulpQW2 - j+EOf4VxivrlWeCb9TpEGrTIOjoJu7u1tXXXrl2oeOJyufbs2dPc3ExRVGFhYV1dXUREBFt48Dpz - aZquqqoKDQ1VqVS+skFwcDCKhJM4MtqDsIh0lerq6kOHDsnl8vDw8JycnJqaGhQO5PP5ubm5lyUG - k+iExP5ID2dFpCuD2AcKbHBms5l809zcDIDh8PDwpqYmvV6fnp7OMExVVRVsuFFRUTwer6GhAXkE - 0dHRERERiEWlaRoGU0iDYEFEYGYYprOzc//+/cePH1coFBqNJi0t7brrrisuLo6Nje3VfA+9YEAT - OrrUbKZ/GR2Im4Lbh8vlDsWmOyBbF+PJALnCeKV/uuLNCuzZxF/ivoNkz86gdrvdFy9eRN1LgUCA - nwwGA3QSypOETEgsFsfHxxMzWz/7gyuJRuT1vS85HA7i/QvgZNE0HRoaCjXb/3685ppr8vLyWltb - IeKTo9RkMiE5Ey6Ojo4OLquGGbygcJexQy4DTqjMTF4Kb0R56voisRxqLWQ7NugI44lmpz0RCuQE - pT2x+oNjCIyngk5ZWRmxy0KgxLD4vx3cj3RbLpfb7XZ43fFeJLqBz+cT8zCcgUKhkPiHATGAEwWy - JvQxiMVOp3PPnj24Fzj8DMMYjUar1SqTyUgkiN1u7+zsdLlcoaGhcL5ZrVYOh4NYmJ6eHr1ez+fz - iXP422+//c9//qPT6eC2YpNSqRQKhXq9HjonjjTE6/oOgtvtVqvV0H6pX3rpvSbFz7+MJ3kEo8F4 - qvQxDAMjUWJiYnd3t16vx1mDrFGMITJsKYoi4QxetHv3bv/zSHlObrijyUYga4x4cihPYALBfXA6 - nQaDQSwWi8ViGErgF0VwAYZOKBSazWaj0YitBxAyoVBot9vh2gX4nNvtDgsLk0ql7e3tmBT4lGC6 - wnOBT+NrCyDMk2Q+g2Mgxp5cM/TdzXhQGxsbG91uN7JyOL9ED2KDYsAGBITL7OzsmTNn0jT9/fff - v/HGGy6X6+6771YqlQh0j4yMvPPOO3Nyctra2v7+97/v2LGjpKQkISFBp9MdP358165d48ePX7Jk - yaxZs5KSkmpraz/++GOtVnvnnXcmJSVptdp169Z98cUX6enpSCzauHHj2rVrY2JiHn/88djY2I6O - jk8//fSDDz6IjIycMmUKh8PB2SGXy3NycsLDww0Gw5/+9Kdjx44tXbr0+uuvV6lUVVVVL7zwwh// - +Ee5XD5t2jSz2dzY2Hj69On77rsvMzOzsLAwsK5sYlRdt24dAuwpikI2o1gs3rFjxyXDECCVSSQS - t9tts9mglmPSu7q62Pq5lz+qrq6utra2tLRUoVBgfrVarcFggBWpra3N4XAIhcJhlUMYT64T+0vC - TAahaffVW8JIryS9q7u7u6OjA/KP2Wx+7733EOCTkZHR0NAQGhrKVneJPY6maUSK1dbWIkWCKN64 - EjLDiRMn4Idkf8n2K7hcru7u7u7u7qqqKsrDASIjI1NSUgJSW3RAhzsOUIg0xLDIjHoEsstLdrv9 - 3LlzOP4oivrhhx+am5tJ9CiiHc1mM5/PVyqVOp3OYrHEx8ebzea2tjbIMKhVptPpgoKCaJoOCwub - O3dudXV1fX09h8OpqKiwWCxe1ny2vk1RlMVisdlszc3Nx44d27dv3+23375y5UqsSa+pp2l6QDV9 - qFGlZjMs0O++1jQ2ocViaW5uDg0NRYkmFC30o4HA6cG+AJ9RZ7j/+5Cd+ekrtOGE6O/bjh26snVs - qrcXJBrguXPnhEJhbGwsoo8YD/SOzWaDzwRpbEFBQWKxmMvltrW1NTc32+12aHHk2BhQ1D00JQSC - +ukkm4gGNYDXvhSxLQ7+L8P+uvvuu1NSUnxdjpTn5Dt//jxqoZGR5PF4LS0tRqMRvuXA9p9NP/74 - I7szSP1C8A+Q7SQSCQoEisViBLlA98ChrlKpuru7xWJxbGysRCKBKYGiKC6XK5PJcKAOrmMIhSgv - L4f/kOo3J3G73Vh16JhAIAA+p0wm02g07e3tqNeKJZSYmNjT09PV1dXT02OxWOA/12q1MIgolUqH - wxEXF+d0Oru7u81mc0hISFJSktVqbWxs7O7uPnXqFE3TKpUKaW8I74ckrdfr4TCkaVqhUISFhVmt - VpPJJBKJoC13dna2tLRA2xGLxREREaj3hqCPkJAQX/EdSa1RUVFEpSSPYF+GnQXbDXRIHMlEmsE5 - AtBgBFMgVgL2CJzobrdbpVIh6xXVdyAkKRSKuLg4g8GAaAhEW6jVakw0TlnEOnI9pX36sqNdvHix - PysBegXFstNxPVDGGAcsM4iYCO0WCAQoYQ3Dh8PhQOKARCKxWCwSiYTH40FCValU8fHxJMKfPVDt - 7e2wL1AUVVNT02vfYMrBsmeLvES7Jp4BymMWgSaJEtkB50s2mw22zoiICF/hla0dkX+nT5/+2GOP - 5efnCwSC6dOnP/744ydPnrzhhhvgLlu0aFFKSkpmZiaHw0lLSzt06NBPP/2E3SEUCrFfli9ffttt - t+Eg4HK5ycnJixcvvummm8DcTCbTm2++WVtba7VarVbr1q1beTweSsGjG9HR0S+//LJWq1UqlcuX - LzcajRs2bLj77rsfeOABsVh89OjRkydPJiUl3XrrrWBNkZGRra2tzz///MmTJwsLCzHC+fn5q1at - iomJCYjNwndgjUajTqcjGY8kTR35JhC9sCwxquClBH8EdQQQHQbEE4hz2Im+ywC2SzTY1dUF6xXb - qOQruQ0Tud3u48ePQ1ckop1QKExISCCnf/9b83Mc2O12rVYbFhbWz9zDMeHkINEKFEW5XC7EggmF - QpRoglGPXGyz2YilT6/X48pem2UYBhy4119J6AGfzwdns9vtUODB1REAOPTRgzzWn3UIY43L5ero - 6EA8kd1uT0pKQvEFejT5tEeJpxCcpKmp6ccff+zp6QHnaWhoaGhooCgKNjuHwyGRSAwGg0gkQiyh - y+VCIg8pZoE1gCgGnOzl5eVdXV02m62vR5PDi/JsdghLMpksJycnOzublITstdsDGrpRpGa73e6u - ri65XH5Jpsbj8UJCQqRSaV1dncViCQkJ6VWNYTy1mhwOBzI2vZod6KJH4KhUKgWuCQmlo1jK/OjZ - SFdp0IQ55XA4ISEhmFlimORwOOnp6W1tbdjzOGBCQkJCQ0OhpJFoZxL7QPV7W+KyixcvqlSq8PDw - AR0Sw8Qx+8mO4dCeNm2au2+c8Obm5qCgIAJJAotvZ2en1WpFaMBA++b2FHS95KabN28eu6tw3FEU - BZkSJ73JZELCrclkQq47REPsdAgE4eHhwOYhxlEAKA5azYbOUF9fT5y6ZMH4v8vtdqOsoMlkgkXG - arVCfFGr1VCnoWjBuAt/JhyefD4/LCysp6fHZDIxDCOVSuGFdrvdBoPBbrcrFAq1Wo0qDCaTad++ - fTweLzEx0Ww2i0QilUpls9kADdjZ2UnTtEgk6ujo0Ol00OW6urqQYM/j8d54443S0lKLxaJQKIqK - iu688860tDSaFQXg+6ZeMcbYBb1eCd2vtra2u7tbLpfDtwwwKoqiFAoFh8NRqVQSiaSpqQlHssFg - wGjAmG21WiMjI6FzNjU1yWQyOEtRZtlgMNA0Dd2VmL0p1qYganCv3QMdO3asP8vA7XbL5XKXy4Wl - xTCMQqFwOBxIn6ZpGtVHEA8SEhKCEY6IiIAaYzabu7q6oNUEBQW1tbVxuVylUomwL4FAAHsQ9Ute - 5Ha76+vrkRhC9b3ZsdjKy8s3b97c0NBADAEkDxk2FLFYLJfLs7OzFQqFSCRKSUk5fPjwzp07u7q6 - AqtpOxwOm80Gqbqv8cQYwqAjk8luvvnmiRMnwhZZXFycnJzc1NTU3t6emJgYExOzaNGiqqqqjRs3 - Ila5qqqqra2tqakJIRsIQM3NzUXwCI/Hi4+PX7lypclkOn36dFlZmclkqqysRMAFsJe0Wm1RUVFm - ZiYiCzgcTnFxMfYd8NJgjECiOyQft9vd2dm5ceNG7Ec+n3/48GGHw7Fnz5477rgDs5Oeni4Siex2 - O5KVAjikFEW53e7w8PAFCxa0tLRA5FWpVFOnTuXz+Tk5OVFRUTRNHz16NCQkBOhowH7r7OyMjIys - rq5Wq9VtbW1SqRT55A6HQ6fTob7jhg0b9u3bZzQa2fNFGB2cK0jOQjQH0DEoz74I7Gt6ERZJe3v7 - iy++ePHiRYIxDpNWdHT0gw8+OGfOHF/scT9nOmAacAt7r8Gx9s4776xcuTIrK4vzyzrJVG9AElhv - vXoC8OGya0og0h+xWDxx4sT09PScnJzvvvuuvr5eKpXGxMRQHki82tpag8Egk8liYmLKyspCQkKi - o6N9G0Qsw8mTJ1HdzWt8fIFyABSCJC/KA8NGDc1OgSm7cOECOK3/+BEwnK6urpMnT27cuLGqqqqn - p8fpdMbHx19//fVz584FStwg7DXDMcXuUVNaHKY6qFQ4TCMiIhBTlp6ebjabu7u7k5KSqqurIyIi - IiIi7Ha7wWDo6enRarVyuRx5mgqFQiaTgeF0dnYiFSgiIsLpdELZJmyE6NXs09DtdkOIysrKuuee - e2bOnBkVFdV/wJdL0ihSs2ma7gvBlX0NRVHEAIbq7f6rIkEdCsh6wrhzOBwUDk1NTcVqAJu22+0R - ERH9LAVxlUYJ+WFkXC43KirK7XYDQAguI4lEkpubi5QPYoVlPFHEMTExcXFxAoGAeKTZNrO+OuD1 - K0ST4fBXDIL60wdiyYbE39dlOGsJ4cqBht8Q1ReR3vCiXFLNJnC+XkSg0S5JERER5DOPx/MCLBjK - TIlEotTU1EHf3iuxe0tRFMMwQUFBoaGh7C/Dw8N9b2R/CX2Aoqj09PRB9EGv12/fvr21tdViscjl - 8ptvvnnp0qVZWVl9+S4GTRMmTLjkNVlZWYF9aP+pr7U3RILyNnSrbn5+vv8LsLZ1Ol1TUxMEZfjS - GYaBYVEgEERHRxcUFBQUFMTFxSUnJ8PSIZPJVCrViRMnSKTG0ImwGgRKNDQ02O12rxXFeAICSU0B - iUSCWHoiKCORT6vVUhRlMBjWr1//5Zdf6nQ6tVodFRV14cKF9vZ2nU6H8A2KosLCwgj2G1T3ysrK - 9evXV1dXIzmis7Ozvb0dBwFERsj95BYej3fNNddQHuOgUqlkF2XEU3Q63TfffAOjBnzLkZGRSDtE - t4lwHHBrPjoZFBS0ZMmSL774QqfTMQwTGhq6Zs2aqKgoIBRQFHXjjTfK5XLkEYD3Go1GpVLZ0tKi - UCh6enqEQiFCVGiaRqqU0+k8dOgQ1ipbOYRIhue6XC6RSASXVGhoKMqzB/YF+yKcv+fPny8vL3e5 - XL/97W8RKepwOKqqqr766qu//vWvaWlpGRkZXioxyU1gn9SMJ9wSphDfUB29Xn/u3DmSd0qawr+0 - h8ivbhaoKvmSGPVImI+vuj7y7krYMZOSkp588snc3FyVSnXttde2t7eLxWK1Wk15YqdbW1uRXKBW - q8+fP69UKnEwsbuKzttstq+++uqf//ynTqdjr3wMC0x7fD4/KSlp3LhxmZmZUVFR77777sGDBwG/ - iliJobwR7Ql6DQoK6o8GYbFY3njjjW3btpnNZnhERSLRqVOn3njjjcOHD//xj39MTk7msEr0+VpV - vMbBN2fBa37Z/fTTju/jBp0NEXBiGCYuLk6j0cBpqlKpnnjiiaSkJJfLlZCQYLfbwQbr6+uRwA8n - h9FobG9vVygUADRRKpXweMvl8p6eHgQJUhRVWVn597//vbW1lWxVEngF3CXYrCmKSk1NTUxMnD9/ - fkFBAVLhAviOo0jN5nA4/QRlpTyLBs7Dvo4cjGwAgcFJdTVEbbEDiWGTvurKHovk50DCnJ45c8bt - dsfGxjY3N0skEtSvZrMtcs5BG+dwONXV1QqFIiYm5pLh4mx+h0YiIyMD+4IjQHQf/kY29cX9fb/0 - 34jVatXpdHD9nT59OjY2FiPmpxFfCGgSkEz+JSIv+wLql3W8h+lMYgYIMU2I80vAcPIl5dNttweK - 2esa0ojXN0QUcP+ypLnXc73uojw1NvR6/b///e933323urpaJpMtXLhw9erVaWlpBIOkr9UyCOuS - f67L7pv/y3xfk+pjhAPYvX52yZd0Oh3sIF7D5TWbXut8EN2DvvfJJ5+sX78e+RQURcH2n5qaOnHi - xOTk5NTU1PT0dIAVkc5TFEWSugNIDMMIhcK0tLT9+/c3NTX19PQgD4WsWIZhuru7ocoSlwXjKc5H - LgNaPsMwW7du/fjjjwFRDrTwr7766rPPPvPaBeTpFEUdOHDg5Zdfrq+vLywsnDZtmlqt3rFjx3ff - fUezQLO8cn0ZhjEYDEA94PF4SUlJQB1nj/OCBQtmzJjhcrmAwwfTQFJSkkqlgkVgWG2vaFmj0QCo - EppMZGRkQkIC5ZlQLzMc5QEQhb0SRVuQ5YGhcDqd5eXl+/fvh2JJUgJho3G73YB7AKJ4YmJiWFhY - YmLil19++cUXX5hMpl6h+wNFqBdAMjMZhomIiLj11lsTEhJoT7qQ2+3+9NNPKyoqkpKS4ARye0qs - A7CT8dRfIHI8PGO4kkAtUJ7QjwkTJrz++utpaWkkkJ5dHAiGCeKnbW9vP336dGFhIexWtAeOAdYu - LAYvfDi3B9CBpLQM3wB6EbqXmJiYnp6OAnupqam+FmS2tT0uLs5PawaDAVEeeBEsSLjNgoODNRpN - Tk5OTEzMpEmT0tPT5XI5h8P5+eefjx07ZjQaSVrQ0N+oV2e7F4HDbNu2bd26deHh4X/4wx+mTZsm - l8sFAkFVVdUzzzyzc+fOjIyM5cuXEx3HN04BG4ddxwEoCeBjbEMbm73ASEfYLNatb1EbssxwV3l5 - OU3TaWlpbIjfkSfCCshJkZaWdsMNN8CByqaBuiJomtbr9bt37ybjTN5dJpOJxeKCgoIJEyYEBwen - paUJBIKYmBhg9XnJPAGhUaRmD4iwhjo7Oy9Z0jmwVj20w3YW4RscP5fdMnSVBkrAAULor+/04ZuW - lhaHw6HRaDgczpEjRzgcDk5i9pXYwO3t7QTuxb/aSVikTqcDfhXBxx5KmNNlpGEVAdmEWmtCobC1 - tbWqqkqhUOAg9PP0vpgmWwpBAqrvMhgZSWXQT+nrxl6/9/qS/Ov7gX0NYaHsX30/M57cPKvV+s03 - 37z11ltnzpyRyWSLFi167LHHUlNTicBEeYL6uJ5yl+QRgwN26ktj930jr81F/u3rNXsdk6H37ZLk - m45OZC/Kk5EI8h2u/kxrP3sINnXq1Knt27c7nc6SkpKwsDDYHBMSErKystLS0hDyQLGgrSiPEHlJ - 09vgiM/nT506dfv27VVVVfX19Sj4BCQ/CJQfffSR3W5fsmRJfHx8ry3QnrQFi8Xy7bff8ni8FStW - zJs3D+9SUVEBaAaiO7G9STRN7927V6/XL1u27N5774Wnzmq1lpaWok2cJs3NzZ2dnSSq32q17tix - QyqVTp48WalUou5aW1sbqlRER0fz+fzg4OBZs2aRAJ+qqqq33nrrtttui46O9jICDhPRNE0yoSiP - fQFSu5e9hpxT+AulDhucMFuXy6XX69euXVtfX48Bh3YKJ2R2djbSheLj44uLi0tKSoDaQFHUzp07 - h9XPhj4j0B2ynEqlwmKw2Ww2mw3vxeVyCwsLv/rqK71eTyKQYaDp7u42GAzILQJKKBkT5A4AkoDP - 54eEhAASAvqVXC5PSEhAzCOWq06nA6qTQCAAvAUGyuFwfPPNNx9++OGrr76anZ0N2weszF1dXXDw - sm9hG/3BXd0epLFhGkY20R6HEyqbInIEETdeNj5fy6yvERAhhN98882mTZsARYmSJTExMenp6enp - 6bm5uRqNJi4ujtRUB74GxwPrjeib9PT0oSMFXlIew4t3dHS88cYbCoXixRdfLCkpISE2mZmZf/vb - 3x5++OFPPvnk2muvnTx5MhgjsrrYnNnhcCBLBV86HI5169b19PQ8+OCDSGIi7AjQpCiSR8rdkWQr - 31rlDoeju7tboVCgVwzDvPLKKw6H46WXXkpMTBwxya0vYkdt6PV6mEi4nsqplMde7OUkYJOXMd3t - dlssli+++OKzzz4D6AmHw5FIJEhruu6664qKiiZMmJCYmOjlBhumLTNW1WwQ0tV8VxWbhmMBwVjr - KwNdpbFFUAlsNhtkC98LMMvZ2dk0TSuVShiVUcrLa8bxr0wmA+hxRkYGh8Px48rmePI54dzA7YhR - 9LoLl10NlCAE7YKiqJCQkGuvvRZS6VA2IPg7Kh6h9sPV7cym/o8GArq2bdv27rvvnjt3Djr2mjVr - UlJSqF+GqCG9Ck4ksrb5fH54ePggqrD0v4e9btuBNjIgGpzVAKCpRIP1ba3/kV+XpEvapyIiIpYu - XSoWi5OTk+GxhJ2L8khIRL/yGs/hEFnQpcmTJ48fP37Pnj2bNm0Si8WwgdI0bTabd+/evXHjxsjI - yBtuuIH0pK95t1qtECEQCW8wGHQ63f79+7VaLeMh3+B8k8mkUqkyMzOBBWgwGCorK5GK6XK5UlNT - Y2JiKisry8vL09LSUKL8wIEDb775Zl5eXmZmZnBwMMw6ra2t3d3dMpksISEhKSnp3//+96xZs/Ly - 8lCtes2aNSdOnMjNzS0oKBiOQHEvgjrR3NxMtErMINuU05eghb55/QrQ6R9++AG4aCKRKCQkJCoq - Kjc3NyYmpqSkhGEYmUwWHh5O8CYhLp84ccILGXg4iM1qQkNDEZDIeEChAT8BbxiqJ+DKjo6OHTt2 - 7Nq168KFC3idW2+9tbCwEE253W6tVvv1118fO3bM6XSGhIRMnz69p6enubn5d7/7HSq3v//++4sX - L05ISGAYprKy8sMPPywvLzcajfAuPvLII+PGjePz+ceOHfvss8/Ky8v/+c9/zp49+5ZbbpFKpU6n - c+vWrdu3b6+pqYFdOCMjY9myZbiFpunKykpEmlRVVYlEosmTJw9rGiNbt8eH9vZ2p9MJqCoc05c0 - +PpeAB37lVdeAfSSVCrNzs6eNWvW+PHjY2NjYbrClcSQBxOt1WqFgVKv16PyxSUR8vv/jn0RuN/+ - /ftrampuvvnm8ePH0556BwzDOJ3O5OTkBx54YNWqVXv27Bk3bhzSK7Zs2TJnzhz458BkTp06deTI - kdtuuw24PDU1NRs3brx48eK4ceNQWJ7P5585cwZABkePHt21axefz58yZUpRURHSOmia7ujoKC0t - zc7ORj0q9K26uvrzzz9/4IEH4uPjUWrx559/7ujomDhx4pIlSwC7MMRRGjTh3QmLbm1thUBO+ZiJ - /Wh5vpbxvXv3vvfee4AFFYlEsbGxJSUlubm5EREReXl5kOHJ4UX1wcECRWNbzZbJZEiIAnTNiD3X - 91lj1AP5qyXGUzjd5XKhUnGv04etaDKZANrsdrtTU1P9gHXp9XpgY15SVWttbQXGDCQMrKgLFy6o - 1WqvpFm32w1U4V7b+XWuOkyfRCJJTEwcemu0J7sETptf55AOkSBPmM3mbdu2/eMf/ygrKwsKClq4 - cOFjjz0GHdtL1dm6deu2bds6OjoQcokcTrVavXz58kmTJl2+97hKvyBMGaoqsL9nflnTboShdBAQ - ftdddzU0NGzYsOHcuXPFxcUFBQUul+vnn3/etGmT3W6fP38+qmcTJ49XI0KhECALU6ZMKS8vX79+ - PfTkw4cPl5aW9pp5SyglJWX37t3btm2TyWRut3vfvn1YzHV1dR0dHWlpaQsXLqypqVm3bh3DMLm5 - uc3NzW+//XZtbe0tt9wCsyBiX7u7u1tbW6FIrFix4rnnnnvhhRdmzpypVqsPHz5cWVm5YMGCadOm - CQSC2NhYtVpNMMCHg2hPEr5X5bxByDYQ7g8fPvzpp59yuVyk7hcWFo4bNy4qKio5ORmh5uzr2XEl - kZGRCMke8jv1TjQrOYtEdPP5/O7u7r1796Lg1smTJ3U63bZt25CYjdASo9H497//fdOmTQKBAIES - Bw8ePHz48B/+8Ic5c+aIRKL29vYXXngB9eEAH7Bv377u7m6LxVJSUqJWq/ft2/fee++lpaXFxMS0 - tbU999xzJ06cuOaaaxISEiorK3fu3NnT0/PGG29oNJrNmzdXV1c7nc6ffvpJLBbPnTtXJpOVlpa+ - 8sorLpdrzpw5YWFhZ86c2b17d1NTEwLR3W733/72N0CNNjQ0INikPzHPQyS5XC6RSKDxovaKf9eX - H8J0tLW1ffTRR3q9/qabbsrPz4+Li0tPT9doNMQDQQqw079M8u/p6SF+0RHTCLDaz54963Q6i4qK - pFIpSUagPDJkUVGRTCaDPUWpVO7evfu1115LTExUq9VIWKNpevfu3e+//35ubi7QDVasWFFdXa3T - 6V588cVFixY9+OCDcrkcuSoymayhoUGv1zudzu+//37KlClPPfUUfLMVFRV//etf77///jvuuIOM - wJ49ez7++OPc3NzIyMhDhw698sornZ2dFovlnXfeUavVt91220ALQQ8fkci4IawDS8AAACAASURB - VIphFotl3rx5iDrJyckpKCjIz88nbIcwHHqAZYAGR2NbzaYoCvhyHA4H6srl6sZV0XwsEpxF/iH0 - 3G734cOH09PTQ0NDUTypV8wtcjx0dHT4wpb4Xrlt27aGhoaSkpK0tLTg4GDk+YeEhLD9V2AEgAwJ - OErWmCaalYcZqJCnERBHrlRCvqLFYtm+fTt0bLFYfOONN65evTo1NZWYqynPkna5XDt27Ni9e/ek - SZMiIyNRpMrpdMbGxiqVyqsmS5qmEcV6uTvy/4ntssY3w+Sp7ifBWTR9+nSapj/88MMjR44cO3YM - WmhXV1dsbOyKFStuuukmiATx8fHnzp1jvwtN01lZWYhBFQgEixcv1mq1W7duPXXqlMvlCg8Pnz17 - dltbW0ZGBrh9QUEBKa+IV541a9bRo0d37tx55MgRrHwUf0pISEDG9cKFC61W6wcffPDKK6+oVCqD - waDX6xcsWHDDDTdIpVKGYaC8HThw4PXXX1+5cuWkSZPmz5/PMMxrr722fv16m82mUqnuueeepUuX - RkZG0jQtl8unTJkybtw4wPYM38hrNBo4LYYCSYDuRUVF3XXXXeHh4XK5fMKECbGxscS1CNZNQjSJ - TgI7Qm5u7tatWwOSXuuH2HwGSb91dXWvvfbaunXrcOwiPHvp0qUkhfinn3767LPPwsPD//u//xvY - gdXV1cuWLfvXv/5VUFCgVqtfeeWV77777sEHH4Tq0t3d/eabb27atAllDl0uF4pKAHT93Llzx44d - mzNnznPPPRcTE9Pa2vrWW28dOnQIEPrz5s1rbGzcvn37okWL7rzzzuDg4J6enr/85S9dXV3PP//8 - 4sWLJRKJTqf7/PPPX3jhhc2bNz/88MNcLre8vPzs2bNZWVnXX399cXExvHbDN4YgFETA55aWFlS7 - HDTRNC2VSpcvXy4Wi8ePHw9FlPKcMlgwvqoRVhSW7mXhS1jPviWN8DksLAzI6j09PTExMceOHQM4 - KDvPwmQydXd3o44gwzBhYWEYivj4eJVKBeNFRUVFeXl5SEjI7373O6zATZs2bd++PSEhYdmyZaGh - oSgkptVq2bgGZ86csVgs586ds1qtsbGxM2fOrKqqEovF119/fWpq6tDj6gNIgTIjlpSUzJ0712g0 - Wq1WjB7Fqtk+wnbhsa1mI+Aevr7+L5SrYtxVwgKAccv/eqBpevLkydioWq1WpVL5KSVN3KFE9+ur - 8fHjx3d1dR05ciQkJASldyUSSUREBPticJzOzk6tVstWs9k5C1FRUb6Rpb8SCpSCfZWGQoi2gI79 - +uuvl5WVSSSS2bNnr169OiMjg+oDztRms02ePPm5557LzMwk8XUkHeAqjRLfAuiyuKz9E5JuZsyY - kZaWVlpaWltbazabaZpWKBRTpkzJysoi0KdLlizJy8vLyclhD+nixYvNZnN0dDTDMDExMYjUraur - g1JdUFCAEnEIjL/nnntQ8Y60kJyc/PTTT//www/ff/+9WCyeOXNmenq60WjMyMiIjIxkGCYoKOju - u+9OTU09evRoT08PMM9KSkqioqLQQnBw8P3334+4cYPBQHkg0FJSUo4ePdrR0ZGbmzt16lSCtckw - zPLly9Vq9XC7E5A3PsRH4Pbs7GxUY8GXjAcBnqjWfa2ooXeg/50Emc1m+PATEhJQICoiIsJsNh84 - cKCiomL27NnI0di8ebNer1+xYkVeXh6K+mZmZsbHx584caKlpYXL5W7dujUtLe03v/mNRqOhaTou - Lu65554rLS2tq6uDcQQ6GF5cLpeLxeKWlpaamhqHwyGVSh955JGbb745MjLS5XJNmzattLR0586d - t91225QpU/h8flNT07lz5/Lz8zMyMpqamkQikcvlmjVr1uuvv15eXm4ymYDwEhwc/Nxzz82ZMyfg - NR36IpTCxueWlhaTyUQPFmIGtwQHBy9YsADfsMN6L4kxgeG9LFIBzQKo8/oeDCE4OPjUqVOo5Dx+ - /Hi2d4fofuzOv/XWW4sWLSovL3/iiSfy8vKQeyISiYKCgh566KFHHnkEOHOFhYXz58//9ttvFy9e - jNwH2gdeBCkYqDOXnp6ekZGxadMms9m8cuVK1NccgfEZYQJetVwuxwe3B6n+spxio0vNhsQ2oDQk - DOVAn0L/stbuVcX7yiDfnHn/5MfnTIjD4RBOFB4e7j/TSSQS+V7gi1vIMExBQUF0dHRbW1tMTAxK - RFIUxa4bQfy0sbGxKPJMCN4/MGX4W/r5vldp7FJ/1urIE1apTqf77rvv3n77bfixFy5cuGbNGlIG - rNc+0zQdExNDkF1oD1L9VVY8Oml0Torb7UZyrJd1kh3kkp2dnZ2d7XUju5IfwzBRUVFLliyhWWVy - CLAQ1Qe+aXJyckpKysKFC4VCIYFYpz1x5gzDCASC4uLi4uJiYm/FjeTfSZMmxcfH9/T0wLqKbmdm - ZmZmZrJfkLSckpIyArOA0uIBaQpqD+NBRvSFHWFfyX61YQUY75VUKpVQKFSr1c8++2xCQgJQ0C0W - y8yZM7/++uvf/va3KpXK7XbX19c7HI69e/c2NzeLxWKn02kwGM6fP2+1WltaWpqamiwWS3FxsVQq - xRlNUZRGoxk/fnxTUxMexI5WzcrKuuaaa3bs2LF69erw8PDMzMxx48YVFxcDIsvtdlutVoZhzGYz - 6qjDRVldXf3SSy9ZLJbo6GiTyQSQC9oDgmW1WiMiIrKysoAgNQJKBcMw0dHR8fHxjY2NyMoeuomQ - YWH798c7jYApm812/Phxs9nsq+uOAPnvpMvlstvtXpDgqE5P5DcSBQmSSqWoGyoUCpEzSNO02WxG - mLdcLkehBI1GM2PGjC+++KK5uTklJQXxIFVVVaijiQYBpg23ORt5mxo20K/LTlg/jKf22+U1W48W - AR2sx2az9fT0KBSK/pszB2Q2w5V6vZ7L5QK50eunQfb+Ko0OAihI/x1i/V82uLjXUsPspjQaTVRU - FBvwUK/XA1uVfGmxWPR6vUwmi4iIiIiIAOwZLP3sc5EsSKVS6dWTixcvolatSCRqbGxEhcCr1CsB - NtZPOv1YIRRuGVU8iiRKvPXWW19++WV9fT2PxyspKVm+fHlaWpr/eH4seKTS2e12RMche4IarUrd - VRpVhNUFsdJLzWYLjr2mlrANsrQH85lmVRtmN8LO0yaPRjolvNNuT5k64iGgPfg6pE2vPuB7HAFe - HSMfvPwNvu6BwBKeeOrUKZ1ORwViD9IeICiKovwfymBuvljlI0Cke6igFhkZya44lZSUVFZW1tXV - RVGUXq83Go0URdXW1nZ0dDQ1NanVapFIFBMTk5GRkZCQ8NNPP6EAG2qAczgcKEKA7CKaMPkrFovX - rFmj0Wj+85//nD179sSJExs2bJgzZ85TTz2F7HQIA01NTbA72Gw2hmF0Ol1LSwtFURaLBatu/Pjx - s2bNAkI1wzCkRNMIDCOmWCqVhoaGCgQCeAsC0uxADQQMw3C5XMRsY9gD0pP+E/YmELwg0bHtax0d - HfX19SkpKdCcy8vL7Xb78ePHp0+fTkxR5EXIB+iH8OdjTDgcDgmBJiXl4uPjXS5Xa2srSbKoqqpi - 12bPzs4WCoXsltVqdUNDw0D9UmOIyOIfDUFYo0XNBvF4PIlEMlDv3CBWCfsMhnrvcrnAnq7INXfF - E+axqakJBTYCu7XYBshelwfhXzKZDHoCrnQ6nXV1dXw+Pz4+noT/nT17dt++fTNmzMjIyHC5XFqt - ls/n43ggK9/lcplMJpzW+IawbJqmOzo6RCIRKpFYLJaRt/2PCcJY9fT0CASCsa5mMwzT1dUllUoH - gcI93PTll1+uW7eusbFRKBTm5eX913/9V2Zmppeq40vYrRs2bDh//jyqHMfHx99+++1FRUUSieQq - E75K/SQCmUM0ZC/tote15HUNqfvQ66LtCyqZ+Nx6DWeFWEw65tsy7Sl+y666TArL9Vpar48xCAxB - xW1tbYXZKyBtXlLTA5fu7OwMCgqCBjLChA7AzgKgeDiiod6UlJSUlZVdvHgxNzdXKBRyuVyJRPLY - Y4/FxcVduHAhOjoatZEpikpPT29qauLz+cePH589ezZc9xhShKNjWsPCwpAjxjCM3W4PDg5etWrV - kiVL6urqKisrv/76682bN+fn599xxx0ikQiZxufOnTObzRKJBCHBEyZMWLZsWUhICAI/ccalpqYS - Fzoxx4wkF70sDmRCkLV4PF5GRsbu3bsDpe33k7DIk5OTBQLBwYMH58+fD3hFjD9qpx86dEir1ebn - 5+MEnzBhAgDzUPyMoii3220ymdiBJBwOJyQkhOMhcKfU1NTDhw8Djoo8GhuWGBwxGvgXDSIKA82C - 4Wg0mpqaGi+ww6s0TDRaEsCITVEmk/VaCzSAT1EoFF6ubBRvuKqujHUiaTyBJa94v16fi8VTX1/f - 3t5OrgRoKjukkKZplUqVkpKCoEQcxi0tLRaLhW1dQrIrbNVePhCKoqRSKUqLURQVHh7uJwWLCHlj - ixwOxxAN0mQZyGQyL1TbMUoQ8i53L35BjAc7wOl0ymSy3NzcRx99dOrUqYhP88PDXS6XUCg8fvz4 - 119/7XQ6kcD5/fffv/TSS4cOHbosvoirNDjq/1Yd1jmFXtHXquuPx2YQmgntSTD2b07y0zEul+sr - 7Vwu/xIUgwkTJgCGcCT3oFAovFygDBhqmUymUCgsFovZbMahjKlJSUnhcrlms9ntdgcFBU2aNAlu - 6qKiovvvv3/27Nm5ubmNjY1ffPFFZ2dnTk6OWCz++eef29ranE4ndBi9Xn/hwgW73d7V1YXIYcqz - Fy5cuLB27dqGhobExMSZM2euWLHiz3/+s1Ao3L9/P1yRU6ZMEYlEDocDVgAgpGq1WoVCkZ2dPWHC - BKSIf/TRR1u3bkWJk179osM9gKgTPnzI8P2hvgoKjMyjaZq+9tprU1NT9+3bd/r0aRhrgOHH4XCM - RuOXX37pdDqzs7MhjSgUCh6Phx2HMEaj0Xjy5EkELJCWDQYDw6op7XA4DAaDVquFLYwgz7W3t4vF - YiQ7pKWlCYVC6Nhgzk6nEy2zuUpUVBTA0q6etiNAo8ubTQ0teJvYs/1fxlawcXFQUBDbqHyVxhzR - npjtgIMcwMjdF14AlqvRaDQajQ6Hw2QysdkZl8v1SqumKCouLg7IpbgXJmrAmJEbeTwe/Nu+T0Sk - InlN4Jf66Tw1qHCPy0XEBU3TtFwuH5zsBUcBRKUrQ8emaZqdOzBKCOvqzjvvRK1UQPXAF93XkmMH - 39rt9jlz5qxYsSIuLq6tre2LL7743//93y+//DIxMTEhIYEaU+v2V0iD2KpX5bnRT9HR0SOJqUl7 - IK/w72VMoUQmOVzTRApFtC3J8/zNb36zc+fOd999V6PRpKamOp3On3/++fnnnw8KClq2bFl0dHRu - bm5paelnn322ZMmSkJAQi8Xy7bfflpWV2e12+HuhC+HIrq2tXbdundFovP/++8PCwpxOZ01NDcMw - iBinKCoqKkooFMLg7nA4goODr7vuug0bNrz++uuPPPJIRESEw+FYu3btTz/9NHXqVJjpRzjknuQN - 1dfXjwbXaP85TACzRJEAolKpli9f/uSTT7766qs6nW7ChAlIje7p6fn3v/998ODBgoKCOXPmBAUF - MQwjFArFYvHhw4fLy8sR/LVt27YzZ86wkREYhlEqlfBjEzie6OhoPp+/d+/enJwcyIE1NTW7du1K - TU2Njo7mcDjJycmRkZHnzp07d+4ctlVFRcWRI0dQURwaO8MwSO3W6XTD4Ze6Sl406tTsoSx9P3Ff - /ulyWVJHVUL4qOrM4Gg4YoOdTmdnZydAQfsaH3K2MQzjlSvoO6pE9SURHGq12rdBmLHtdrtAIGDX - YKQoCqo7VrvBYEA4kNcBg+eiYgQbWW1MEAKrBt1nt9tdV1cXGhoKKM6xvqpHLWFg09PTH3/8caTn - 0R7ycxdSztLT04VC4V133RUfH4/0xaVLlx48eHDfvn033nhjfHz81Vkb5YQJ6v9WHW4d+wo4v0YD - IYHuV2UQwcqRyWQ0TXvZuzMzM1FeGyrKuHHj7r///nfeeefZZ5/Nz883GAxHjhzR6/VPP/10RESE - QCBYvXr1f//3f69bt66srCwuLq62thZVlDgcDuzpaWlpRHdKSEhIS0vbvHnzxYsXU1JSjEbj3r17 - VSrV/PnzAetrt9uDgoLKy8sPHjxYXFwcFha2Zs0ag8Gwa9euhoaGkJAQs9lcXV09a9asm266SSKR - AMV6hOcOOltkZGRdXd1ldGgPCMoLVg+SxB6oDsyfP//w4cObNm16/vnnMzMzU1NTXS7X8ePHKysr - 8/LynnnmmaSkJFhDxo8fv2DBgg0bNjz//PP5+fkOh2PPnj0UCwQXLzJp0qRvvvnm5MmTcrkcNWWw - ijZu3MjlcufNm+dyud5+++2Ojo6HH344LCwM6s/SpUv//Oc/v/baaxMnTmQYZt++fR0dHWxoOpqm - g4ODuVzuzz//nJGRkZOTM2Kg9L9OGnVq9iWpVwcdGCVCLJDdPaAT93I5/UaPs9G/z3as0HBIWhwO - xw+aNwk5k0qlfD7fZrPBvczmaL63+EZ29bqeu7u729raoqOjlUol+wKaBczjf6nDODoyiKMBIbzL - 0F3QBD/mKg03ud3uhIQE2gNF2Z/oXIqi7r33XqfTCR8Oh8MBNEZcXNyBAwcuXrxotVph9R8NvPEq - +aH+b9Wenp6A50yyY5vZ5sury2bQ9KsdwJUrV9rtdrVa7XXUrlmzJjk5mZRfeuihh5RK5SeffHLg - wAGRSKTRaBYsWDB79mxgshQUFLz22msfffTRiRMnKioqBALB0qVL9+3bt2/fPih1Eolk0aJFOTk5 - fD4/OTn5L3/5y/vvv19RUVFWVma1WvPz82+//fb8/Hw4fsLDw++8886PP/741VdfjY6ODg0NjY2N - ffbZZydOnPjDDz80NzerVKoHH3zw1ltvDQkJoSiKz+drNBqlUjliWCRg+wqFIiIiYkzUYsSZotVq - Ozo6EF8dkKVOeyAhVqxYkZ+fv2HDhvLy8kOHDiFccfr06atWrRo3bhykNYZhxGLx6tWrNRrN1q1b - Kysr7Xb7jTfeGB0dXVZWRlRxmqanTp0aFhb2+uuv79y58/nnn09OTr548aLdbg8LC/v6668BuafX - 65cuXXrTTTfBQsQwzOLFi+12+zvvvLN+/Xqapq+55ppbbrmltLR00qRJEokE01RSUpKamrply5a6 - urq1a9eSyvCjh5hfYlJe7u4Micaemk31bbiiWViOA6LLomDTNG0ymbhcbmCNaoMjYLzL5fKRKVk5 - TNTPng9o6wK+8pINMiw42Uu26asz90owXpICsOzHEUkoNja2V1gs2pPFjWyIS3ZpVNEQ9SsOhwN3 - 6NhdyWOIoCQPKIyIYRiBQCCRSEjCOZCfjEYjHEqXnR9epaGTl8IW8M3IeKozkORnxOVyOJyr+V9j - mkbYH4ulMnfu3F5/WrRoEfmXYRiRSPSb3/xm8uTJTU1NwcHBSqUScby4oKKiorGxcc2aNV1dXRaL - JTg4OCkpqaWlpbS0FE8RiUSrVq2SSCRgcfn5+S+88EJ7ezssUBqNBuXZaU8y46OPPpqcnNzR0REX - F8fj8WDTfOihh+bNm9fZ2RkaGhoWFkbOdw6H88wzz4jFYnYjI0NjK8UX4x9YQzztqR1w2223TZ48 - +ezZs42NjTRNZ2VlxcTEAMyM9uRMMQwTGhq6bNmyWbNm9fT0UBSVlZWFIuq4kqIohmHi4+OXL1++ - c+dOmqYR3R0VFcXn8x9++GEOh1NdXR0dHR0XFzdz5kyEP5AAyfvuuy89Pb2qqkooFM6cOTMkJGT+ - /PkajYYIk/Hx8S+++OKmTZtUKhVB5w3gaAydaA/o7+AilEcVjTH5m6Iom82m0+mUSiXbjk6citSY - snzYbDY+n++rR408cTicIWIsXfbaAP3nFAQetv9aQT+vDNTrk4BMLxXa12FI/u3s7DSZTKGhoezr - A56pPmhClYt+dmaIw3hVwR5h6v8aYzwI/O+8845YLF6yZElkZCS+NJvNTU1NEomESANXJ3FMk5fY - rVAoAgiUAB0byFJAqHI4HEKhUKFQAF4oUA8aPhqFou2vmYhU4PU92yhPe8qbp6ampqamkgtoD773 - 5s2bv/rqqz/84Q8TJkyIjo52OBwXLlw4cOBAZGQkcmoYhpFKpUSFcLvdoaGh7FOblEDDBUKh8Oab - b2Z3AOm10dHR0dHRFEsPwefi4mJqxDnnGDpwif1CoVAEvM80TSPrPiYmhl0WjvJxDRK1OTk5mVwD - Ld2rwXvuuefGG290uVzoMKwqCQkJM2bMoCgK+Iu9LtFJkyZNmjSJNMV+EJ41derU8ePHw+Iwak0k - FovFYrGApY+VNeZLY+A0IoSlYLPZurq6RCKRryg2hqYBXQXc9Gjo9hCLHjEs0KkA9mpA1J+gd8aD - WOZwOADbM1DnM2mHYoV/W61WpLSpVCpS1ZAaoNu81956dYBdOhXv0tDQgLrZNTU1nZ2dsbGx5Ain - B1JSfliJYRidTieTyUasHtUoefGr5EVYk1wut729vbS0NDw8HMVv3G73zp07W1tbUeju6vSNdcK5 - Fh4ejuD/wALtoEGj0bh79+5t27Y1NDQAdzcoKCgjI2PRokUTJkwICgrqlW8PZV35MuT+3+V1y5WR - pTVMBNBsfB4xBWBAlnRS04QNOcYwTGFh4ZYtW1566SWNRlNYWKjVaquqqrq6ulavXh0TE4NNwS7D - juJeBMTUyyyOi/ErUTOgFOF7rB92z9GrUWJbv7zU18phGKatrS0sLGw4EpJJnT+v0ly+Th3MIymE - BqGRWEwo1lkJKwwatFqtAoEAug+Px0MyvJcKis8kTx6PdrlcXsZHFDwnSzHQIzFUIryapmmLxYLq - tqOwn/2hwKjZIyYVud1u5MP06u6w2+2o5z4CPQkIjfVyvoTsdnttbW14eLhXFvGIEcMwXV1dMpms - PyipJPVl0M9iu+6dTqfVakXVjcjISGRJkcuGgmDve5fL5aI9hG9InXmNRgNgScZDoyrSBoX6Ruxx - o+fFr5Iv0TS9ZMmSc+fOffTRR0ePHgV4T2lpaVhY2N1333014P8KIExfcnJySEgI4UgBaRntGI3G - DRs2fPDBB1wuNzk5WSQSMQxTWVm5cePG06dPP/XUUyUlJYTxeiX1eEmWXkgZvcqdXq/gxYS9Xo08 - lGJVFmTfgqPBYrEIhUKBQMB+FnkK2/Hl9e7kwxgSdfpJGCKj0UhMM6gtPAIMoa/2fb+nPYXcfC+b - N2+e2+3esmVLaWkp4suysrKWLVs2b948YvfxmjX/EWfsSGP/HSDfX/JNh5tGP+se1jxNMjuX9LLQ - NO1fKIKmzdbYs7Ozp0+fjgwChmH6ki3RMlsv83pfYuIhbpvRRrQHpkcsFre3t19yrEYzBUDN9j0Y - Ak5YLlar1Wg0yuVyYEL6XgNFa8Q8ZkOnK8ZpQ9M0G8nwspBYLL7kGUN7grGH8iCn09nd3S0SiYKC - gnQ6nclk4nA4drvd5XK1tra2t7fDzMwwjMPhABxaQA4/t9t94sSJ0NBQjUZDOE5WVpZSqayrqzMY - DARoFCGUfD5/lDAmmqbH0K68SsNKEB0mT5788MMPf/LJJ7t27bLb7QqFIi8v79577y0oKBidp/5V - GijRNC0Wi6FGBlbHZhhm69at77zzTnh4+OrVq1HNmGGY2traDz/88Ouvv965c2d2djap4ABzJ/Hq - wPRJFBhIsWwHlFd2t9vtZnuevfRtUtUWCAWkZba/kaIoQP3hs9vtvnDhwqFDh3JzczMyMsg1eBAa - IR/Y1lJkwEJEHr5tEkCbyCAeTVEUsLjxTWtr65jI+6U9wbrz58+fNm3a2bNndTodj8cbN24cwsWH - 0nIA+zncJBD8v/bOPLiNKk/83S2pdd+yJEu2fJ9x4iQ+QkII5poMBBhgOCYzUzPsQAG1zMzuLLPF - LGxtzW7V7DUstbvUMCwUxyzXQCXALoQQYMJCnJNgx8GJEzuOD1myLVn33Wqpf398f+5qJNvxodvv - 80cqbkmvv/369ev3fd+LzLvVkbvPteCn6bVdsifG2hvhrh5vuummTZs2Qfb7K84AVxSgEDZlFgMm - OrjGYokDWowMiA7vhhw8WjiOs54V2EJjiE2jVywU1wS6BCRJQrbhfAmA4zgkgcgq8OTPzc299tpr - HR0d27ZtGxwchAQSLpeLoii/3x8KhUDBZhjm9OnTTqezu7vbZDKtvXNwHN+3b59AILj//vurq6vh - yNjYWDAYxDBsfHx8bm6urq6OIIiRkZGxsbFNmzaZzeYCMQyWzI4SYu3AzvQdd9yxadOm4eHhcDis - Vqs3btzIxiiioVIaQIoyeF9PTU253W6YkdbYrNvt3r9/P47jjz766PXXX8+uwFpbW//qr/4qFoup - VCpWIQfPTI/H4/P5otGoSCTSaDRyuZzV/8EpF3L2gk+mSqViy+pAO5FIZG5uzu/3g5eQSqXS6/WQ - LhR+zuPxaJr2+/1isVgul7Mn9Xg8kUiEz+drNBqtVgtWd4qi9u/f//bbb//85z+vq6vj7lBHIhFI - yS4QCKRSqVqt5hozIVuSQCBwOBwCgaCioiIbC57FXhnZtqawZwFfVugTi8UCzr2F/wZhbY8qlWrb - tm3scdi+KXDhM4VEIoHnIo87I9DVFy9ehMe58EfO8lEqldxsZ+uEDCb1yAtrUrOZ+bJDPp8PorCw - 7Nx+aFMoFEL+/QUfYBzHIQUaIi/k/bHPzWQKFobe3t6+vj6JRCIWi/V6vd1upyiKYRgYomBMHhwc - /O1vfyuTyWpra2H3cS3igZu6wWD44x//KJfLf/SjH0FR6PHxcVCz4bkgCMJmsz311FMej+fxxx/P - iHqfEXIpxupCKBG5p7a2tra2NuUgumslA9feMjk56XQ619ggTPL9/f12yDlWWgAAIABJREFUu72n - pwd8H2BuBA3HaDT+xV/8hVgshoS9YAM4e/bsH//4x8HBwWAwqFKpuru79+zZs2HDBpFIFI1Gz5w5 - Q5Lk7Ozs4cOHIdPv7t27r776aqVSCTOJzWY7cODAwYMHZ2ZmQIb6+vqHHnroqquuEgqFVqt1dnZW - KpUODw/39vZeffXVu3fvJkmyv7//nXfe6evrg/z5bW1t999/f3d3dyKR6O3t/fDDD4eHh//0pz+J - xeLt27dXVlZiGOZ0Ovfv3//ll1/abDa5XF5VVXXvvfe2t7fDsieZTD733HOxWEyr1X700Uetra1/ - 8zd/o9VqM2uSisVibLxo+kcYhmWqBtISsLHKGIbpdLpCtrmlwNpR2UvIeEbrAiccDkej0ULwPijJ - 9wgbD5ib08E+RVZPt5xgjWLfKMmwIT6r+51ss+FwmM/npycwKKKbUUSiLpO8X9Eyz74WOWGto9Pp - 2tra3nzzzWefffbBBx9UKBSBQABsI9FoNBwOe73eI0eOvPjii1999dVPf/rTjBhwgNtvv/3DDz98 - 6aWXcBy/9dZbDQYD+xbHcTwWiw0NDT333HMffvjhzp071+2uUzKZpCiKJMl1tb4pLkAvYtO0YIvk - iUEUNdyoQoigWWODMDy++OILp9PZ0NDALZMD2TESiURtbS3r3Y1h2Ndff/0v//IvfX19LS0t7e3t - drv9rbfeOnPmzF//9V9fddVVbrf7v/7rv0ZHRwOBAEVRWq22v7//6NGjP/vZz+666y65XB4Oh59/ - /vk33nhDo9Fce+21Eonk/Pnzx44d4/F4ZWVlra2tvb29r7/+Okz7sVisvLw8FotdunTp3//93wcG - BhoaGjZu3DgxMfHpp5/GYjGNRiOTyd5+++1Lly7RNP3JJ5/MzMzo9frKyspoNPqf//mfb775plqt - tlgsfr//nXfeOXHixJNPPnn99deLxWKapt97772xsTGj0WgwGDQaDeQZXmOXssCbEczvKSnrmPl0 - mwzDVFdXZ9t1kauacqeIogB8AUov8mWZCydIB5vf1SCbxBvs6qX0Tsnx5TidzrKysmzXQrpi8o5i - v4NrUrPh4tVqtUKhgIh8mqbXmHh96bpQzHymaIlEkpI7BCv+m1HUrKvO7+npOXjw4Pvvv3/p0qXv - fOc7crnc5/OBN+DAwMDFixcPHjw4OjqqVqu3bNmi0+nW8taJx+NsUF9NTU1zc/PAwMCzzz772Wef - bd++/cSJE5BpPBqNfv7554ODg0ePHvX7/c3NzWVlZevqpmDz84Pf77fb7SaTKV8J+RDLAS/mpCaI - pYE10+XLl10uF2gdmapDgeP47OwsRVGwBoCD8Xh8dnYW3LNxHBcKhSqVSiQS+f3+F1544eTJk/fe - e+/DDz9sNpudTuczzzyzb9++Q4cONTY2UhQ1NTV15syZm266ae/evS0tLSMjI0899dT+/fvb2to6 - OzuHhoYOHz5cWVn5i1/84sYbb4Tatk899dSHH374xRdf1NXVeb3ekZERgiDuuuuuXbt2tbS0KBSK - S5cuTU9P33XXXQ888EBlZaXD4XjiiSd6e3vPnj17880333fffbFY7NNPP7322mvvuuuuhoYGDMM+ - /fTT119//dvf/vYPfvCD6urqaDR67Nixv//7v/+7v/s7o9G4efNmZr5w1A9/+MM777xTr9ezLuUZ - AZqSy+WQICo9ObxKpcKyHM8JmyZdXV0Gg8HlcuV97x7Bkkwml3PrC2ertKhLQBUISqUyB+9obmbK - kiQD1mxWB4hEIg6HQ6vVsmniVwo42yyxRwvHlUplZvdxc0wymYxGowKBAE0EOWbtPQ+/6uzsrKur - Gx4ePnHixPj4uEgkcrvdGIZB0F0wGPT5fDweb+vWrRaLhVsJc0XA7ON2u8VisUKhgMw9NTU1crnc - arVOTU319fVFIhFQsycnJ1955RUIL9TpdE1NTaBkrsMBBovsbJTrQCAQywHU7Onp6VAoBGr2MstA - LAfYoIH1H5zI4XA8++yz58+fhzhts9n84x//eMuWLZcvXz537pxMJuvq6lIqlYFAQCgUPvDAA4OD - g19++eXY2Bg4BLW0tECYN8MwGzZsOHv27Pvvvz8+Pt7Z2XnkyBG73b5nz56Kigq73Y5hWCKR6Orq - +uyzz86dO+d0Ovl8Po/Hu/3223/xi19A2Vscxzs7Ox977DGLxcLn88fGxjAMUyqVFEWFQiGFQnHD - DTf4/f6hoaHrrrvurrvuAs+O3//+97FY7JFHHtm0aRM+n6d9YGDglVdeOXLkSGNjo1AojMVilZWV - 9913H4RaZGNuZ6PWUzocw7DcJI6CE7HO8KFQaGnTCyI3UBQlFAqLyEqfx0x+JUMOEh5hGBaPx7lp - Dtm0GiXzyGeyoBdN05FIZC2OYfF4fGpqSqfTLX13s+3DkG0gVwq4AORblvXF2nse7AkqlWrLli0n - TpyIx+NOp5Md8zRN22w2DMMgQ2xHR0dFRcUaZeYabTAM2759+7vvvjszM5NIJGC/H457PB6v1wsl - iA0Gg9lsXoejC+ZliUSSqQU9AoFYBaAXVVZWymQySOIN3qSZap/H48FWKVvaiiRJiURis9mGhoaE - QuG2bds2b97s9/shM+X777/f398PycBpmp6amsIwzOl06vX6ZDK5ZcuWuro6mqbBHa+yspLH40Wj - UWx+p+DQoUNjY2M8Hg9c30OhEDvZwhGz2axSqeLxONTyKSsrk8lkBw8eHBoamp6eTiQSExMTkCkW - vKAhd+7MzIzX69VoNIlEYnR01GAwyOVymqbhuvh8/o9+9KP9+/f39fXt3buXJMlEIiGXy6GwRZY8 - t+FmLaif5CAFGphMjx07Nj4+DqvKQCCwWKw4IpcMDw83NjYWUTKqklHS8ki2dV0oADE2Nmaz2VLC - Q0rp9mVmIY7P10mqrq5O3wpdDnA7w+Hw+Pi4UCiEsNKlXcfXKHMe4fP5CoUi72UP1iGZ6nmGYTo7 - O7Vard1uh3UVq2mDnwVN0waDobGxUalUrnonnvXi4/7Z3d29Y8eO0dHRubk5Ho/H1qHBcRyqiDEM - 09DQYDAYiv0xWTW5yYiLQCAWA54+rVYrFotBbQuFQhRFrbFZeLQbGxs///xzn8/HBqkZDIbHHnsM - Sio++eSTn3/++eTkZCAQADGcTudHH32k0+mkUilUFlSr1Zs2baqoqIDJs6KiQq1WQ5UsgiBomiZJ - kt3cxHGcz+eDlhsIBCwWy8aNG5PJ5C233ALGcGw+hJg1sH/yySdPP/20zWbT6XQajSYWi8ViMegH - 1vsvkUiEw2EoXQtnEYlE8DaBL+A4bjabeTxeMBhkVU140QgEgqwW/l1w8szNjMowjNPpDAQC8Cdb - y2fdvs4KBOR6uQ7JwR0HF2bIrAEJhmZnZ6EebcmMt0zau3g83nL2uhZ0AYLtW5VKdf3111+xhWLv - fYIgkLUtB4BtgbscyUjPw/DbuXNnQ0PDyMhIJBLh7rVDfVQcx7/73e/u2LEjg4shHMcTiYRAINi1 - a9ehQ4fm5ubAOAOfwmzFMIzJZNq7d29raytW/E/K6lifV41AFBQ4joOjOCiuGQza3LJli0KhOHLk - yK5du6CEIY7jMpkMwzClUqnRaCDBODYfKbp169Z//Md/vOaaa9jgUo/HQxCETCaz2+04jjscDr/f - L5fL2cUJrPwYhikvL1epVA8++OBDDz3E1lIJBAIOh0OtVrNutPh8imkcx71e76uvvmq32//hH/7h - nnvugXfQE0888eabb7JKNSjkXq83HA6nmGrZICM2RyA3OyD7UUZ6sjDh+mENDg5GIhGFQoFm9fyy - YcOGfIuAKDUIgmAYpq6urqKiwmq10jQtFAqNRmMp6dgYhuUh0AJcs9LfE7FYbO2ZSBEIbH4V4vV6 - g8FgeiqXjLQvFAqbmprSjdXwf4VCUV1dnfHFAbRWW1urUqkWVOBxHG9ra7NYLBk8aVaJxWJQCy3f - giAQiOKgtbW1rq7u9OnThw8fhhkeNFIo0x2PxyUSidlslkgkSqVSKpUmEgmKokBzTiQSHo/nlVde - 2bdvH6Rnw3F8fHx8bm6ODeYkSTIYDM7Ozkaj0Y0bNyqVyoGBAfD6hvYPHDjw61//+ssvvwR52Eke - WhgbG3M6nc3Nza2treDoBGfH0tKt4/N1yAiCMBgMs7Oz4GFO0zQo+X19fbFYbOPGjWKxmM/na7Xa - 3NiXCmdC3rx5s0Qi4cpTOLIhEIi1wKZ1BGt2vsXJFjlVs2F+tFqtMzMz8Xg85VOfz5fB2C0EIktJ - 5qBBgiB27drFOg2mfNrQ0NDd3Q1qdgYFgKZaWlra2trkcnl64yRJgoWn8NciIOHU1BTMBoUvMAKB - yC8w2RoMhttuu00ikbz88stvv/322NjY9PT03Nyc2+2emZkJhUJ8Pl8qlULCyM7OTpfL9ac//Wl0 - dNTj8UxPT7/88ssvvvji0NAQLELAds3Vfnk8Hk3TPp8vGo1CGbD+/v633nprcHDQZrMdPXr0xRdf - PH78eDAYhO/j87BCCoXCmZmZCxcuOBwOr9d76tSpr7/+OhgMTk5Oejwe1i4di8Wi0Wg8Hsdx/M47 - 74zFYs8///zw8HA8HofqjE8//bREItm9e7dUKuXxeK2trWw4evYoqHxjbBAimxiJjZNCIBDFDsMw - IpFIIpGUcPnVXCdJYhjG4XBIJJL0KkewX5tjeRAlCYwrKECSvfa7urrq6upGRkbC4TD3U0hdazQa - Mx5OBmssgiC2bNny6aef+nw+7knBY7y1tVUmkxVLRlChUIiCvhCIfJHtRAYZ1wlBK969e/fs7Oyr - r776u9/97pNPPtFoNGKxWCgURqPR8+fPKxQKiUSSTCZlMtlPfvITl8t16NAhq9VaVVXldDpPnjyp - 0+m+9a1vGY3G2dlZkiRTYotwHCdJUiwW83g8s9n8wx/+cHJy8q233jp16pRarR4fH3e73XfffXdX - Vxf+zVpl0Ehzc/OuXbv+8Ic/PPfccydOnCBJcnBwEApSRCIRyKxWXl5eVlbW19e3b9++PXv2NDc3 - f//73z98+PAHH3wQDodvv/32cDgMVbL//M//vKWlBXpSp9PpdLqsllmJx+MFlXLM6XRyTTJQGh3D - sBJzKy12CmfAIIoIWNBCuscSfpxzrdbiOF5RUQFr65SPcpM7HrEeAP12LVru0kVE2FQC7e3tx48f - j0Qi3JOqVKrNmzfr9fps1JCEs2zZskWr1V6+fDlFqq1btzY0NEAutAKftkC8tWdiRyAQqybbE0U2 - GicIQqPRPPzww3V1dfv27RsYGIBqQyRJCoXCioqKm2++ecuWLVD8ubGx8Ze//OXzzz9/5swZcMne - sWPHfffdt337doFAoFard+7cKRKJ9Ho9pDrDcbylpWX37t0bN24UiUTJZHL79u1/+7d/e+jQoZGR - EZvNZjQa9+7de9ttt1VWVmIY1t7e3tPTU1VVxSrqQqHwz/7szwiCOHLkyMDAAE3T1dXV3/nOdyKR - SGdnp16vZximtrb21ltvfeGFF9544w2JRFJZWanX6x9//PHnn39+bGzs5ZdfjkQiMpns8ccf/973 - vqdQKOA2XXfdddu2bdPpdNnoVTiFx+OBbG0Zb391DAwMhMNhtVoNf4bD4cHBQblc3tDQsLpsu4hs - UIA3onAqeCMWA3Zn3G733NxcuoNzXuTJxpjJg/VYq9Xy+fz0oueFrxggiou16NjBYFAkEnEraaUA - E0RnZ6dOp5uenmaNQrCE6uzshLDt1QmwBKD8NzY2VlZWfv3116DhY/OPT1NTU86qm2YElBUcgcgj - Pp8PSmEV0TMI4Xwikei2227bvn37xYsXQ6EQVNKWyWQSiaS8vBx27SE0uqmp6cknn3Q4HBRF4Thu - sVhUKhVYUaRS6YMPPghei2wPbNmyxWKxsJUUBQLBzp07d+7cGYlEbDabSqXSarXY/Ky1ffv2yspK - iUTC2lcZhqmsrPzZz3523333QYlTs9ms0+m4ydJ4PN73vve9ioqKCxcudHV1Qdmzq6++uqqqyu/3 - nzp1isfjXXPNNewuJPz2xhtvzN4yCZoVCoUF5b3pdDohDxxc+MGDB5977rm9e/dWVVUhg3YhgON4 - PB6HnPn5luX/A6OioJwyEIuB47jL5XK73YWgZmPZ0UNzqmbj81VtFxz9aMZEZARIdcM68q0IeMZC - odDx48ebmpqqqqqWMGhjGLZ582aTycSG+cHPN2/ebDabIbFNNiLDGYZRKBSbN28+fvw4uCACGo2m - pqZmLSXEck+xyIlAlBjwFp6dnZVKpVBKKuONX7582e12Z2M6Akt1MpnUarVXX311+tnZ1RJo2hqN - BnRjbD6DN+umqNFoUsTDcZz9MkDTNBRSqa+vxzjlJLB5Bz1uC+DWrlAolEoltwUoCo3NT3pKpXLP - nj179uxhjyQSCdCroU4EhmHxeJzrTgku01l1sFSr1eAtXyAGbfZi4Z7+z//8z+TkZE1NjVAoRO+O - vAOLHIfDYbVa116uL1PAwBgeHo5Go0KhMN/iIK4A7I1CRoz8SgLpeDM+t+TBmo2s1oisQlFUIBCQ - y+UikWjVI22J+DcYwKDuGgyGpqam06dPu91u+EitVm/bts1kMmXPZwkc2tva2tRq9ezsLBSJYRgG - ctsuYYFHIBAIAGYnnU6XDasgqNmQdQzHcVAv2SxWq26WmwAMJmGo3ZXiFMNWWoY/QSdn13A8Ho/7 - hQXjg9iqWmyDkHwLJlv27bBYC4udkds5oO1Drg34FCqfsYm+IeqbeyHg1r7GPlwCEKlAFGyAmQfe - p3v37r377ru7u7tTegb75tjIFNx7mrJw5f7J9WXjCpPy/ezJtkxYkRZchHMfopQLWaJBhmFcLpfP - 5yscazb7+GBLps0vKDVkweGUV4kWJYPiwVUHAgFIA7n2BtcoCThlCASCzK7e86BmF+zoQZQGfD5/ - 1en0YHDK5fIbb7xxse/AogpSjuE4XldXJ5fLvV4vfNTU1NTU1JRVXReWdG1tbUajcXh4GMpl8/n8 - q666qqqqKnvnRSAQJYZOp8tGszBH1dfXq9VqhmFgCQ5aHHwEimj6D1PWxCk29gUX/Qt+J/1r7BsB - FGYMw+BfmMm5319QMK7LN0y53FOnn5FViblnXExy9tOU1JUpv4I/ucoS93TYlXpvacBOPjw8HAgE - lv+rbAMdy24l79mzB5wF0rdsUrSUjJCyWE1pmf2TmU/Lgn1T5V5Mzc7IjsDqFtKskMtXs7l/gvma - /UnKrlOBAI/Jhg0bILfCYl+7oszZ2LhZAu65YF8p/dMFd0nSd3mySiKRIAgiPclu+rx0xUcS3gtO - pzMUChWC/RUGTMbFQJm9EaUGBOmlH7/iY8ydJliTdfoX/H4/RDPCwfb2dq1Wa7VaMQwjCKKhoUGn - 08GSJWOX9E1gXWixWDZs2HDmzBmv1wvOk5B3LRsumggEoiRZOtfjqoE2zWazXC6H6ZSm6UAgEIlE - YDkoFAoZhonH47BioygK1m0p5ZpSEqMuppyvAoIgoI5XijEfTMrgrU0QRCwWYxeUBEFwC18TBCEW - iwUCQbpIkIRypaLC6TAMS39/gbTQIFQsI0kyGo2yp5BIJBRFpXhdrjStLMMw58+fDwQCYMzJVxIp - 7klBqWZVa/BfEAgEoIdwLaggLT5finzpU6zoBjEMA5oku1sEf7ILBnjds/9inO0S7mhhT8dtDcRe - zBS8hJwQDbGEtNg393fYE4EkKY8S+/TB1gy7A5VIJLgRBKFQiJvAmHtrltOTuQGu3ePxUBTF5/MX - 7D04vvQAyJnixzr7wH2BBR77LKcYunP8SLJxLtBd7M7dguKtVELofwgMwedjcDIo/PKB84rF4mw0 - jtRsRAmS/qizU9hi+9PcfbilH3iYblg9vKOjo6Gh4cKFC6FQSKPRbNq0yWAwZDuLDJivOzs7P/74 - Y7/fj2FYQ0NDbW1taddFQCAQmSVLlf9gIp2amoLZiSAIp9P5zjvv2O12kiQpiqqtrY1Go3Nzc0Kh - UCQSTU9Pi8XiZDIZCoW49oSenh5sfpGn1WoVCgWYPtYuoUAgcDqdPp/PaDTCqdnjNpttdnZWrVZL - JJLR0VG2PiKPx3M4HLFYjBWvvb1dr9dzky2B9iWXyxOJRCQSWb76AVsPUDyisrIy5YeggQcCAR6P - 19/fr1QqjUbjyMgIK3ZLS4vVag0EAtz5H3pvmSSTSaFQeOrUKQhQzON7hHv2cDh8/vz5YDCo1+v5 - fL7P53O5XCqVSqFQ0DQNyUdB0ysvL4fw4HA4DJewxCm0Wq3f779i1qXa2lpWt/f5fHK5HDRMmqZD - oRBstTMMY7ValUqlRqMJBoMulyuZTJpMJq/Xq1QqxWIxDImZmRnYY0okEmq1GtKGYRjG5/OVSqXH - 41nQBUOr1fp8vpTdE2jEaDRKpdIFbxNb9ozrUpFMJr1er0KhIAjCbrfrdDqRSMTt8+np6VAoZDKZ - SJK02WzJZJLP5xuNxlAoBKEfNE2fPn26pqbGYDDANofdbjcajV9++SWkYsVxPBaLuVwumqYXNHVk - G+7K7d133zWZTAtmXkgkEnq9Xi6XLzbI4aYvtpGRcdg4R5Ikk8kk9GFrayuMT4FAQFEUZOEBP81w - OMwwjEQiIQgiHA7D8BCJRBRFZTbiA3ZCYWSSJAn7oVarVaVSVVRUwGozGo1CN8LTx25+wQgBv07Y - PVywfRzHIfIxg2Kvmiy56yM1G1GCpD8n8Xg8EAhIpdKU9Aawa8jj8Xg8HryblwjMgIPc7Dgw2XV0 - dBw9ejQUCtXW1nZ1dSkUityYlHfu3NnQ0GCz2aLRKORdy9I0gUAgECtFo9FUVlaCgdTj8ezfv//w - 4cOweDUYDBRF+f1+gUBAkqTb7YYFGTenI4ZhBw4cgP8wDGMymfR6/eTkpMfjwRZyk17sCJa2foJt - Sq/XGwqF1Go1mNZBHSIIwu12e71emUwmFApnZmbglQHWXdDN2HZqa2uVSmWKtgZqdjKZXKmaLRAI - 7HY7hmF6vZ6Vn9U5KYqKRCIEQYyPj4vFYrVaDV8GzGazy+UCRS6995YgpU9GRkZAVxcKhWazOS/K - EjhB+Hw+HMftdvs//dM/yeXypqYm2IZwOp1KpbKystLj8djtdugfmqarqqrA9TQcDoNusFj7OI6r - 1Wqfz8fVSRYcSN3d3TAkwuGwzWazWCywPAiFQrOzszqdDtYPo6OjUqm0sbHRbrfPzs4mk8mamhqn - 06lWq41GI9iNh4aGYHsomUyqVCqapkHNJghCpVKBmn1FOdlhDOnrzWZzukkAx3F2owHKv2Pz2zQT - ExNVVVUMw1y4cAHy7cNPQH26cOFCMBisqqqC3SVYF23YsMFut7tcLhzH4/H46OioXq/XaDTQ7S6X - S6vVTk9Pw24ajuORSGR6ejoldV8OYCOx2U74+OOPIb9jSsfCaDEYDBaLhZuSkAvcdJVKBTHnWb0Q - 6EaHw2EymRQKBUVRDofD4XD8/Oc/d7lcBEFACp7R0VGGYVpaWlQq1ddff01R1IYNG8Ri8fDwsMPh - wDCsvr5+enoaNPBMCQxbM+Ddo9frhULh3Nzc6OioyWS65ZZbQOcfHR0lSZJhGLvdjuO4WCyGwQBe - J5ClyGq1LtiNYB4fHBz0+/3prua5J0un5lMUhXImIUoV7owDJuiUj/x+v9fr1Wq1crk8HA7HYjGR - SCSXy5dpjmY4Zb2sVmt3d7fFYsmBKQDciqqqqrq7u/v6+tRq9Y4dOwwGQ27UewQCgVgCmKCqq6vv - v//+8fHx/v5+OA4Lx0Qi4XQ607+fvkt49OjRXIqdTorqzv4J/2GvK7OMjIws8SlBED6fb2ZmhquE - g1KaIvlyeg96HuMEGEORjtbW1gcffFCr1eZeWerq6rr77rtfeuklj8fj9XpPnjyJYdinn34K38EX - iUE9ceJExuVhOzDlpAvKcOjQIfb/x44dy7gw6SzWFWshpRuPHDnC/ZPP57tcrvSTCgQCSBMI5fTy - 5VUHBmrwtMdx/MyZM1fsn/S9ORaFQsHairFFejsbtwBwOBzBYBDDMJlM5vP5oLJdRUWFVCqdnJyM - RCKQb99ut4OOWl5e7na7F7Mbr0hs/JvJ/LC0/hGLxf39/dDVs7Oz0OGwBSkSiWKxGDuZwATi8/nY - WjwpbbJLVrB1mUymLHlu5xG+2+02GAwYsoAhShR44EmSXLCgNE3TsVgMNHCRSJRIJCDCbZlhafCd - 9vb2qqqq6enp7u7u8vLy3IS0wXX19PR88sknDQ0NW7duzYvlAYFAINIBV9vrr7++r68vHo87nc5Y - LMbn86VSaSQSYcv/gHEJWyRfV4pxG4CpD4KiWW9DdnnNuizCuh+fj56FxN3wBYIgIOIUIonA0sL6 - A0NT8BFEG4HVJd3BnqZp8BjP+IQPbYIhVCAQQAUy6KjFahSxJhOIpwXZlm4f4r3hGtneI0myqanp - iSee+Pa3vw0+z5m9tCVgl+a/+tWvLl269Pnnn0MQAXtdIC2syFfRPnfkgImYJMl4PM7j8ViXBGgf - Nn3YbHDg/srn86EQOvzJ5pMHYdggf8g4ADeOe/YV2XiZ+eTqMA75fD7rvguXHwqF4vE4XAWb8xXC - 9SG+F6L3eTyeQCBYi3mZ/S1cF8bJww9SwdMET1xXV1dbWxtJkjlWKOB011577RtvvDExMQFyLqiw - wRigKAp6j32oU9yt4e7DM8ge5PF4Uqk0Go0mEgn2P+CPg2FYJBKB7Qb48hX7PBqNsm7V6Vy4cIH7 - J9zHqakp9sjY2Bgzn1Mdx/GZmRlsJUFAfD4fbt+CfubQdRRFgfwwhGAbBdzaL126BGdno99hew4W - zzAkYrHY3NwchmHw7DDzmQLgeYGJGqowgGYukUjuuOOOsrKyHFiqcgnudrtVKlUpXdJiIH/adQiE - DAmFwsVmH3htwzzFBt1B8ptlngLG1X//939PTEzcc889zc3NuRkZKp8BAAAYkElEQVRjMBNFIpE3 - 33yzoaGhq6sLXvw5ODUCgUAsB4ZhbDbb+fPnrVbr3NycWCzW6/UOhyMUCrFGjJmZGYZhNBoNqMHc - n4MlkwWfT3AlEolqamo8Ho/T6QQVUSKRqNVqmqbB7JNIJEwmExtjOTs7q9FozGYzTdNut1ssFut0 - Or/fHwqF5HK5x+MhCAK8Im02G0mSSqUyHA5DzHYkEvH7/VqtViaTpZg0rVbrzMwMaOYZ7zc+n69W - q10uV319vdVqraiosNvtPB6vsbExJb8mqEDj4+MWi0UoFLpcLnAp5y7K09sHX+jh4WHY+DAYDKFQ - iKKoqqqqRx555KabbgKdIfdLJtBjT548+Yc//OHixYter9disfD5/GAwGI1G3W53eXm5VCrFVp5U - HHTUmpqaYDAYCAQYhmloaJiZmVEoFGNjY3AfIXY6mUwGg8GvvvoKfphMJquqqrRa7cWLFyEWoLq6 - Wi6XRyIRr9cL+/gGgwEWEi6XSyQSRSIRjUbDvpEZhpmbm1OpVMvMjZpMJiHGzePx6HQ6vV5vtVqD - wSCIp9fre3t7wWcew7D6+npoeXx8PBqNikQigiAqKysnJiaEQqFer5+bm1MoFNwi5At6SsN/WGMm - /AfEJknS5XJJJBJYdWDz7u4ul4skSalUmkgkLBbLvffe29XVlZeq5nBRzz///GeffQYR8pB/MUUS - eLJmZ2chbS1oj8u5KQzDiMXiDRs22Gy2YDDY3NxstVpnZ2eNRqPZbObxeJcvX1apVFBbgWEYSCKw - YMtwRqvVyuPxwMyZIifDMP39/dy7gGFYJBJJJpNs8l02cyRbBGH5j0MymVQoFGq1enp6On0zLplM - QlaCqakpyG9XVVXlcrkSiURzc3M8Hr9w4QLsEcTjca61HzatIMIcLNvcOG3Qvdk+h/gLiqIoioIl - +saNG//t3/6tqakpX8kXs0ROE9ZnlSvuf0Ba0RVpUIjiBcaD1+tNJBLcF8xiJJPJ0dFRnU4H6UnY - GWE5J8K+qc/ncoJgtwkwtIWEQCAKjOUsMCKRCJ/PX9AZZ2xsLOUI2PdIktTpdKFQCJQlgiAkEglE - REMGJoZhwH0J1Gyn0wl5s+B0PB4PVoSw2gsEAkKhEKzEgUCAJEmhUMjNwR4OhyG5d4owPp8PCj1k - w3eUz+crFAqPx2M2m51OZ1lZmcvl4vF4arV6wbW72+2GNx3YVyORyOzs7BLtg5rd19enUqlCoVBF - RQWkgm9sbKyvrwcLar7eKdClc3NzExMTbre7rq6Oz+cHAoFoNOp0OisrK1llY6UQBGEymSKRCGjL - MIrkcvnU1BRb5g3Us1AoxLpM0zTd1NSk1+vPnj0bCoVA34B+c7vdBEEIhUKj0QjLS6fTKRQKI5GI - TqfjdqDD4dBoNMvR6GCQi0QioVDo8/k0Go1SqXQ4HJFIhKZppVKp1Wp7e3vHxsZg4LW1tcEu1fDw - cDQaFYvFsJtw6dIlkUhUXl4+OzsLqvKK+grEcDqdGo1GKBQ6nU5IugZ7ZBA2DFkMpVIpTdMWiwVO - kZdhwz6AU1NT4NmuVCoX/Cafz5+amhoZGYFroSgK8ulgS0qeSCRkMllHR8f4+HggENi0adPY2Nj4 - +HhNTU1VVRWfz7948aJGo9FoNPD9JW43TDtjY2PgJr3g7MF114cp7uLFi4FAoLW1Fbb/CIJwuVzp - +YaWA03TWq1Wp9Ndvnw5vYQVTdOtra0Yho2MjICHS1NTEyQd2LhxYzKZ7O3t9fl8sFsBaQVgqqyr - q5uamqqqqopEIlNTUy0tLV6vlyTJcDgsk8mkUils08BOqE6nI0lydnZ2bGysoaFBJpN1d3c3Nzfn - 3hUi25SImg27R+xLMf1TmLL9fr/BYIA7nXshEbkEbjr4osBzu9hNZ93nRkdHtVqtVqt1Op0kScrl - 8pXatFP2I3PjOs46DmX7XAgEAnFFUoqEwQY39k0rGftl1pS0YLLZJXSSK5YiSzcQsVMlwzDghs0V - CZRzVhhI1caWiUov/wNfzsEkz7qvw7nSrU/wEetVDpKvpaIk2zlrEXuNQOdno2XuwIArLXwn1fTB - XIACg0qWx5HDKgJ5OXu2gRiZvD+YXBiGYaPBWWs2RE9EIpEl3Ei5pHyzcK4uU5SImg0BBuCEkP4p - PHgOhwOqd8hkssUcZkrvBhcyBTghgkhWq1UoFGq12uWbptk6k0scyRIr7UY01BEIRJaAmEbWOJzy - EbZQTp0lZqQlootTSgqnx1imzMasdyWrn3N9MlmfIO5BVtTFNvGZ+VDwLAFx41CNmVX7lwiAYi9h - wX2BdNKXf9APBbKU527QYIvkkF8F3JEDkaU4p3I1OJZjaSXc2THD3azhNsuKxPW+5n5hRW9qrhgE - p7Y2M18WO6WMXMpYxdJ8v1fdY9wHIeWjlFMUgq8oM19xeulLZjhp/7i3dWkWnDe4nY99cxgs3edL - r8TSH15QX7lZ9Ln5C68o/IKXs9hvUwYze6KUscRWaAfAj4adpiDrAftpigM5uKPDmGEzXBTIzJNZ - il7NhnszNTXl9XorKytZ34+VAnG5bBILRA7IQZ+vVKWE4RQKhcANjFmDPzYUXylABxg2a0u2i3sj - EIi8w/r1YBiWg+mIYRhwLoXoWQQCgShYisjqkG65yZelilUbU7YnIPMfu5uZvueSvh8BG14lFoyd - Qik4mjIMAzE8UIdwpRsH8P1AIAA5LbMi4kJnXM9AD/j9/nA4nNU+X9qrcMHvYxgmlUrFYnE8Hvd4 - PJCHfDEhF7yVMPUMDg6OjIxEo9FlbpQuh5SaZAueN/1IkgPDMNFo1G63Q0xjRqRCIBAFC8xpU1NT - k5OTCybuzvjpVCqVSCTK9okQCARijax0iZhH0j1Z8iU5Pg/kMGLBcRz+5f6HC/fn7P9TTOKlx+qj - dwoHHMerq6t1Op1KpcJWO/LEYnHONlRKe0gtH4lEsvY+hyIfmXU1SXEE8vv9JEmmh/Rzs5RzfwsK - rcfjoSgKatjA/LhGCbluTkt8gevtA7sD7IWsMWAPgUAUIwzDCIXCcDgM2V+z/QJaaaYlBAKBQCBK - kqJ3GgcYhoGadYVfOhjKMguFQuSyu0ZA4YTC9wqFInvO52zJipStxHg8DqVKuaMO0lRAYVhQdOG3 - sBGwFgkhia5IJEqXBMMwhmF8Ph/UdWSddmia9nq94XAYqjtCMt7Fyq4iEIhShWGYWCwGtaNzuc97 - xURlCAQCgUCUKiVi2qJp+ty5c+Xl5WazeRVv9BUVcFo1sKPhdru9Xq/JZJLL5VgRWrYzGA2SkaYg - 71327p3L5bpw4UJtba1MJmMPguRjY2MDAwMdHR21tbXs8UQiMTw8/MUXX0BBV4qidDpdd3d3S0uL - SqVai007Eom89NJLVVVVu3fvTq91T9P0vn37dDpdT08P1LGIxWJnz5794IMPhoaGKIrSarUWi+W6 - 667r6OiAshxFN/YQCMSqyUsx22AwSJIk8iFHIBAIxDqE9+tf/zrfMmQAHMenp6dlMplcLl/FSsLl - ckG2qmzIxgXHcZqmcRyXyWRFmlIPPKUzoqStsQX4uUAgyFK1atgWeeutt373u9/V1NTU19enZF98 - 5513nnnmmcrKytbWVjYT49DQ0G9/+9s333xzcHDQZrNNTk6ePn36yJEj0Wi0uroadPVViAr+Gs88 - 88zJkyfb2tpgO4mbT+LChQu/+c1vBAJBV1eXWCymabq3t/fpp5/u7e2dnp4Oh8MOh+OLL74YGBiw - WCwWi6VIhx8CgVgFmdpWW+neqN/vz0smSG6GagQCgUCsgnxlWSslSsSaTRBEV1fXggMi/WD6EZIk - c+DCDSdVqVQQQ150QL8Fg0GGYaCmdCE8ftlLFAnXe+7cua+//trlcqUEYOM47nA4pqenfT4fTdPg - p01R1EsvvXTixImenp6enh6z2SwSiYaGhl555ZUXX3yxpqbm1ltvXV1cA8MwMpls27ZtL7/88pkz - ZzZv3iwWi9mPMAx755133G53U1OTUqnEcXxmZuaFF14YGRn58Y9/3NnZqVaro9Ho/v3733333dde - e626urq5uRlDy1AEArESVjrfKpXK3Ge4gdQYEolELBajKQ6BQCBWR8mnAc8BJaJmY4tvukBsWEoJ - zZQjudR7Iflz3qsLro4CLH6QbWG2bNly+PBhPp+fnsQb/NXBTATD7/Lly6dOnbrqqqt+9atf1dfX - w8EdO3aUl5f/8z//s9vthhjp1UkCe0n79+8/efLkjTfeWF9fD8dxHPd6vadOnTKZTI2NjTC0hoeH - R0ZGdu7cee+991ZVVYEk9fX1AoHg/Pnzc3Nza+wWBAKx3oCatCuqBZivdGj4fOngvJwdgUAgip1k - Mul2u1UqFXJ+XAulo2YvBuxqSyQSbF4V9/v9fD6fm0cql34RRR0TyzDMqiuTFx0wKsxms1AohMR1 - 7EHY4aNpmq2hlUgkeDze2NhYMBg0Go1isTgSiUA7PB5v586djz32WE1NTTwe5/P5q9C0oc9bWlqq - q6tPnDgxODhYU1MDyj+O4319fXa7/eabb66trQV5KIrC5pO0QVExDMPUavUjjzxy+fLlhoaGoh6H - CASCBRykc2BziMVi586dMxgMq8uBkjMIgtBqtfmWAoFAIIobSOWbbymKm+KwqS7nNq/lrV/IK4bC - gaZpv9+/3p66kZERj8czMzMDzuGJRCKRSOA4nkgkuLZ9WOOaTCaVSvXZZ58dP37c6/XG43GIR5BI - JLfddltTU1M0GgXlfKVigMHcZDJt2LAhEAj09/f7fD5oh6bpjz76yO12NzY2arVaMDQpFAqpVHri - xImPP/54eno6Ho8LBAKGYSALmtFoRGMegSh2YAbw+/2BQACq92XvRAzDeL3egwcPDg0NQYaO7J0O - gUAgEPmFIAij0Zjj4hSlRxGkQGMNhqu70xKJhDUeQgtisTgvOVeLHZqmw+EwSZJF5ECyRi93HMf3 - 799//PjxeDzOMMzExMSFCxcmJiampqYuXrz4xRdfDA8P9/T0bNmyBepRazQap9N58uTJM2fOXLx4 - cWpqCqzcQqEQJIGEbauzJEMLwWDw1KlT4XC4o6PDYDAQBGG1Wn//+98zDPPd7363pqYGGocsaOfO - nTt9+vTQ0JDdbgdJQE4UbINAlADwFFMURRBEVl9qMKvADqPFYtFqtUUa94RAIBCIZYLWimunCJzG - aZqORCIikShfUV4IgCRJtVpdXOW+WZPLqmcKmqaTyeSpU6eGh4cJgkgmkwKBgCTJeDzucrn8fr/b - 7Y5EIjA4SZL8yU9+IpVKP/jgg0OHDh04cMBkMjU1NX3rW9+6+uqrdTqdVCpddQfCJezatau9vf3L - L78cHx/ftGkTwzAff/yxzWbbvXt3Y2Mjq8CXlZU9+uijFRUVr7322vHjxz///PPy8vKmpqYbbrjh - pptuKi8vRwkkEYjSAAr45eZEN954I4b8vxAIBAKBWAZFYM2maRpqbxaREbUkwXEccsZe8S4UggrH - 5kWnKAqcXlYqErRw5MiRM2fOmM3mbdu2NTU11dbWWiyW8vLyhoYGiqI8Hs8111zT1dUFpiSGYaRS - aVdX144dOywWi1gsttlsg4ODX331lc1mUyqVer1+1bVtoH2RSOT3+3t7e41GY3t7O03T//Ef/zE+ - Pv7AAw90d3ezNwjqxm3YsGH79u0tLS1Go/Hy5cunT5/+6quv1Gp1XV0dVLLN+21CIBDFAsMw8Xgc - 2TcQCAQCgVgORWDNFggEKpVq1fmZERlkmfpz4SQkT86zahdHi8UilUp37tz5y1/+srKyEptXdzEM - e/XVV3/zm9+o1Wpu2ZhEIkEQRF1dXX19/X333Xfx4sVjx469//777733nslkam9vl0ql2Bo6h2GY - np6eN9544/jx47t378ZxfHJysrW1taGhgWsnTyaTkBPYYrFUV1ffc889t9566+uvv/7hhx++/fbb - Gzdu3L59eyHcIAQCUSzgOI58ygqTwnnnIhAIxCqAShalZ0/Nj5q9ImsnRJ1lVZ7VUQg22xxzxQrk - cNDv94tEIrCX5gsQTKFQYKtdfMDVGQwGsVgMmc8gBpLH40Fq39bWVpVKNT4+7vV6dTodjuNutzsQ - CGi1Wrh2qVS6devWjo6Orq6uv/zLvxwaGnK5XGq1etXDBrzWLRZLe3v7e++9d+7cOa/X63a7b7nl - FrZqF/zb398/MTGxbds2k8kElu3Ozk6z2Yxh2IEDB86dO9fZ2VmYjxUCgUAgVgSsUFGyIgQCUaTE - 43G3263VaktM085DFhOwLq4oT2lhJjVlc7OtT+C9vmB6W4qispr2dvmsvWyVWCwGKzExDxyH/OGQ - UYxhGEg8fvbs2X/91389evQo6OHxeDwcDsdisZaWFrVaPTMz4/f7sbWNZ4ZhkslkfX19Mpk8ePDg - /v37JRLJ1q1blUol68zJMMz//u///uY3vzly5Ajo2BiGURSl1+tramoIgoCM8WuUBIFAIBD5Bebw - aDRqs9kgVWe+JUIgEIjVUFy5n5ZJTq3ZYGeLRqORSEQuly9/57UANzaSyWQgEIDEbAUoXrYBZc/r - 9UJNcm4P4Diu1WpLpk/EYjGfz5dIJFCai9XbIf4Zw7Cqqiq1Wg1f9vl8vb29fr/fYDA0NzeDWh4M - Bk+ePOl2uzdv3qzRaNao+cPPN27caDQaDx06RFHUQw89tGnTJnZ6gqdMoVB4vd4DBw7U1dU1NDRg - GJZMJu12+2effSYWi+vr61FsNgKBQJQGFEV5vd7y8vJcnjSZTCYSiRIzPWUP2HwvSUUCgVg7JEmW - lZXlW4rMkwencRzHS6MWSDQaXYcR4+xm+dzcXDAYXNDxuJReJNFoFMfxmpoacPbmrifAgRzDMIZh - YKnR1tbW0tJy7NgxiURyww03yGQyn883PDz8f//3fwRB7N6922QyrV3NZhims7Pz2muvtVqtGIZd - ddVVBoMhpdk77rjjq6++On78ONjSCYKIRCJDQ0OXLl268847Ozo6wA6PQCAQiOIFpn25XF5fX5/j - Tf9oNOr3+0vPyTPjwN63x+Ph8XhKpXLtTnYIRElSkqG4OV1q4/Nlq8VicS7Pmw1wHIfEbKU3JpYm - mUzCRonf74dbWao9ANel1+ubm5tramq4oebwmpTL5Zs2baqsrGQXGfX19Y8++uhLL7107NixkydP - girr9/tNJtPDDz/c09MjEonW2F2gZvP5/DvvvDMUCmm12u7ubu44JAiCYZimpqaf/vSnL7744qlT - p/r6+jAMYximrKzsBz/4wfe//32z2Vykb3qU6QeB4AITMnoi1jk8Hk8ul+f4pARBCASC0rCa5ADU - VwjE0pTkiwzPfSRPAS6UIaKJz+ejSXBpGIZxOBxyuVwikQQCAR6PJxKJSrXT2BgHKOhVUVGRMmgp - irp8+XJZWZlWq8U4dv7JyckjR46cP38+EomUlZUZjcaOjo7Gxsa169hc2eLxuNPpVCgUMpkM++YD - xUoyMTExMDDg8Xjgz8bGxra2NliNFdQDuHwSiUQymUTGk1wCESKojFNh4vV6855vElEIFJohqNDk - QSAQiNyTBzW7oIA3gc/ni8fjCoViRdbpwnyLZFUqhmHOnz+v1+tLMoJiMZbo0pSPQCFZ0LjEegFk - UCpWgAXzvUNBr5SPaJpmfd2LC7jSubm5eDyuVquRXpEz4vG4z+eTSqUZ3CdCZAqn0ymRSKBMIAKR - Y5Z4Oa6ljmZJUoAWJgQCkW1QfCaGYRhJkqvQPQpzusy23cloNMKSbp28M1h9NX3FwCrV7Efwf7Zm - NYZhkIGcIIhsGGAhnfuCSxkcx/l8PkjCbqXBweJd+jAME4lEotGoQqEozE2uUmWdPOzFiEajKd4n - GlHsLDYnJBIJv9+vUCiKcUs3S6D5E4EoeSiKSrHXrndrdunhcrkkEkkJRL8XO0gzyQZQKw72xfIt - CwJREMRiMRzH12GiEETBQlGU0+nU6/UowAeBQKwTksnk9PR0WVkZ93WMrNkYVloa0Uprkq+ifZRx - ZzmgLsoGJEnmWwQEolCAqX5qakogEBiNRqRpIwoEgUCg0+lQPQsEArGuEAqFKW9hZM0uNZAzLaK0 - QSMcgeBis9n4fL5Go1mHBSZzA5pzEAgEArEK1oWajd6RhQC6CwgEAoEoLiiKisfjJEmiXYwVgd74 - CARivZE+762L+EY01xcI62FPB4FAIHJJtgOF1i3Qq36/32q1hkIhhmFQPy8ftO5CIBDZozBn4/R5 - b12o2dFoNBaLFeYtWT9QFBWLxSA5NgKBQCAyAippniWgV4VCoVKpJEkSJSVBIBCIAgES4uZbiitT - 4mo2bD9brdbZ2dl4PI407TwSiUSCwSC3vhQCgUAgEIWMXC4vLy+XSCT5FgSBQCCySLEszkHO8fHx - YDBY+DL/P1SLDOM6t3DxAAAAAElFTkSuQmCC - ) - ) - - (text "todo: implement inverted \nvideo toggle bit" (at 387.35 163.83 0) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 0d3cf130-d563-47d3-afc0-c4ba0d9794ae) - ) - (text "========================================\ndotClock : 12000000 Hz\ncharacterClock : 1500000 Hz\npixelTime : 83.33 ns\nlineTime : 49.33 ms\ntotalCharactersPerLine : 98 characters\nactiveCharactersPerLine : 85 characters\ncharacterWidth : 6\ncharacterHeight : 13\nnrOfTerminalRows : 28\nemptyScanLines : 2\nN : 26\n========================================\nRO : 97 (0x61) - Nr of Horizontal Characters Total.\nR1 : 85 (0x55) - Nr of Horizontal Characters Displayed.\nR2 : 87 (0x57) - Horizontal Sync Position.\nR3 : 4 (0x 4) - Sync width.\nR4 : 25 (0x19) - Vertical Total.\nR5 : 0 (0x 0) - Vertical Total Adjustment.\nR6 : 28 (0x1c) - Nr of Vertical Characters Displayed.\nR7 : 22 (0x16) - Vertical Sync Position (might need manual fine tuning).\nR8 : 0 (0x 0) - Interlace Mode.\nR9 : 14 (0x e) - Max Scanline Address.\nR10 : 205 (0xcd) - Cursor Start Scan Line.\nR11 : 207 (0xcf) - Cursor Stop Scan Line.\nR12 : -1 (0xff) - Start Address (High). Real start address is 0x0000.\nR13 : -1 (0xff) - Start Address (Low). Real start address is 0x0000.\nR14 : -1 (0xff) - Cursor Start Address (High). Cursor will be at position (0, 0).\nR15 : -1 (0xff) - Cursor Start Address (Low). Cursor will be at position (0, 0).\n========================================" - (at 426.72 194.945 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3725da19-9073-4883-b78d-7270da2aeac8) - ) - (text "char rom" (at 302.895 130.81 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 5aa52d69-82c9-4f25-b0a9-d80741391e08) - ) - (text "vram" (at 220.98 77.47 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a99bc202-17ee-448e-a061-c48eee426787) - ) - (text "crtc" (at 60.325 76.835 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid d0b24d14-4b50-4616-9f2b-f5ab9e85151f) - ) - (text "6845 spits out refresh addresses \nin vertical blanking period,\nwhich we don't want" - (at 93.98 78.74 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ec83ab10-e488-4630-bb2f-e2956c81917e) - ) - - (label "D1" (at 49.53 86.36 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 027ea6fc-5d80-4dc4-9d2f-b390e4f25b49) - ) - (label "~{DE}" (at 336.55 171.45 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 04ad7f82-2310-40c3-bbf1-6567f535f7c5) - ) - (label "A4" (at 161.29 93.98 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 059c7bdc-4109-4a7f-afff-5c6937efa747) - ) - (label "VA11" (at 212.09 111.76 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 05fb9549-df1a-4a4d-83c5-e9302f46d9f9) - ) - (label "RA1" (at 88.9 124.46 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 07931e29-38b8-4d3f-ae35-09e0da7e2537) - ) - (label "D5" (at 299.72 96.52 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 08644f04-7028-4ef8-b811-e5cbe8b9ae9b) - ) - (label "RA4" (at 303.53 171.45 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 09b05898-eef9-4e04-bd04-47280ff61296) - ) - (label "VA11" (at 93.98 111.76 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 0cd9e5b2-d7d5-4caa-8e5b-2ef5d45227c6) - ) - (label "VA1" (at 147.32 86.36 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 0f137010-b987-4d5f-8079-8e250f2475a4) - ) - (label "SD0" (at 254 83.82 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 10e0e1ae-2d82-4093-8922-1f43e9e8507a) - ) - (label "VA6" (at 109.22 99.06 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 1152a936-b80b-468d-81e5-e5e289c8ecd6) - ) - (label "D6" (at 49.53 99.06 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 11a2bebc-6497-4bfa-8ec2-54f6d7d73437) - ) - (label "D0" (at 49.53 83.82 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 11d7d5cc-fe55-4520-8c3c-c8c55d34e4a7) - ) - (label "VA0" (at 109.22 83.82 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 126c57eb-c6c0-41e2-a38a-7f176c281724) - ) - (label "VA3" (at 212.09 91.44 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 13adaa76-de7b-49f3-825f-50af23894048) - ) - (label "VA11" (at 147.32 147.32 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 1494411b-a3d3-429e-a761-559a637d458f) - ) - (label "D5" (at 49.53 96.52 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 1689c693-dd75-42e2-9a72-364100af084d) - ) - (label "~{VRAM WE} " (at 81.28 200.66 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 16ac2272-3caf-4d51-94d2-ca0db8ce3fc1) - ) - (label "VA8" (at 93.98 104.14 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 17636738-3127-4b40-b8c7-ae6e65a998cd) - ) - (label "VA9" (at 109.22 142.24 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 18c04b0a-dce4-4b3d-aaaa-38c0c7c7fb85) - ) - (label "VA7" (at 93.98 101.6 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 19f5534c-a40a-44e3-91e5-275247630019) - ) - (label "VA2" (at 147.32 88.9 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 1b543a01-c826-4e58-8b13-d7b75b63418c) - ) - (label "RA4" (at 88.9 132.08 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 1c70142f-442b-4b7b-86e2-045e9d2e012c) - ) - (label "SD4" (at 259.08 93.98 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 1e33a0af-bb01-4d30-8f84-218bc0b4f1ed) - ) - (label "A9" (at 161.29 142.24 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 20c652ef-d206-45cc-9fdb-05b2346a5944) - ) - (label "VA12" (at 207.01 149.86 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 21bc93d7-73a2-4416-a81b-afb0a01979b8) - ) - (label "VA13" (at 207.01 152.4 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 23748118-b172-4940-99dc-741c5887240e) - ) - (label "D3" (at 299.72 91.44 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 273c3c06-a4a2-4ba9-a915-7a1fb9376060) - ) - (label "A0" (at 161.29 83.82 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 278b2661-147c-4159-ae69-379fc29ff3c3) - ) - (label "VA6" (at 93.98 99.06 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 28730ba6-68be-473e-97b8-d99dde7846b4) - ) - (label "VA7" (at 212.09 101.6 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 2e030549-31a7-4d8e-b975-e559cb8d2ed0) - ) - (label "SD3" (at 254 91.44 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 2f026793-5806-40c0-bc49-7bbbf1be7198) - ) - (label "~{DE}" (at 118.11 267.97 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 2f0d23ed-0278-466c-8027-28e7544e5385) - ) - (label "A3" (at 161.29 91.44 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3077431a-48d2-49ce-a01d-90872628b91f) - ) - (label "VA9" (at 212.09 106.68 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 30847293-7deb-47fb-97d6-8fb9a5246944) - ) - (label "D7" (at 299.72 101.6 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 33465278-d839-41ab-9f51-cf4a57b0acd3) - ) - (label "VA13" (at 212.09 116.84 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 376d1069-a583-4ab5-8f9c-333e6b79592c) - ) - (label "VA1" (at 207.01 86.36 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 3b4d8104-60d1-4450-9b60-d15ca6dd462c) - ) - (label "~{VRAM WE} " (at 245.11 114.3 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3bb3758b-9971-4ae6-b1f4-3ee7ea36bf57) - ) - (label "VA4" (at 212.09 93.98 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3ca4894a-161c-4def-8807-b8d894ce6c63) - ) - (label "VA12" (at 109.22 149.86 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3eac3141-2815-4530-8f46-33208e8f0689) - ) - (label "VA10" (at 93.98 109.22 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 3eb5c7bd-4766-4810-b83f-be6b2243e7ca) - ) - (label "SD1" (at 259.08 86.36 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3f6a779a-9f6f-400e-b362-13065307ee9e) - ) - (label "A6" (at 161.29 99.06 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 40546598-525f-4d69-a45e-85e4a4a910d5) - ) - (label "SD6" (at 259.08 156.21 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 40a3b017-b14e-4d54-bd6c-b93b4fcf7479) - ) - (label "VA10" (at 207.01 144.78 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 4212ce8a-b69d-414c-9d57-46851ffa8b0e) - ) - (label "A0" (at 46.99 111.76 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 4662451b-c469-44a0-80b0-2f9d2e7eff2a) - ) - (label "D4" (at 49.53 93.98 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 47cb2358-20bd-46c8-bd83-5b35ab69f7ad) - ) - (label "SD4" (at 259.08 151.13 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 49511074-bfaa-4aba-8148-7fa6f1b565a3) - ) - (label "D1" (at 299.72 86.36 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 4d484450-230a-4bbd-a3b4-0a7d376826ae) - ) - (label "VA4" (at 109.22 93.98 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 4e5dc7b4-eca8-41f6-992e-ce431ebb6ef6) - ) - (label "VA13" (at 109.22 152.4 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 4e889c0e-8eb6-4ee7-bd84-ee422c66b52a) - ) - (label "VA10" (at 212.09 109.22 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 563a838b-6f0d-4301-b50c-94494b99a68c) - ) - (label "RA0" (at 303.53 161.29 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 5859ce4f-717b-4f66-8a0b-967c9f769c36) - ) - (label "VA5" (at 212.09 96.52 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 589707d9-6694-4bae-9146-0d11f35136e9) - ) - (label "SD5" (at 254 96.52 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 5b3db7bb-e06d-4a26-9e18-6281b8bd3024) - ) - (label "SD4" (at 254 93.98 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 5b649ac8-cefd-4a1f-b878-ed45ea863889) - ) - (label "VA9" (at 207.01 142.24 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 5c333957-361a-4ad0-8afb-17084c8bc2e4) - ) - (label "SD6" (at 259.08 99.06 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 5d0ac913-35c5-4807-b7df-ce166fd0fc68) - ) - (label "~{DE}" (at 115.57 109.22 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 5d64a13c-2d58-4b4a-8ed2-f042d8c9910a) - ) - (label "VA7" (at 147.32 101.6 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 5f0b8cd3-51ce-4acb-954f-cf3524e398ea) - ) - (label "A10" (at 161.29 144.78 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 6017448f-8371-44fa-830c-f32b69dd1f98) - ) - (label "VA2" (at 212.09 88.9 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 60f27d98-fdea-4e72-afb4-a4681f46d61d) - ) - (label "VA2" (at 109.22 88.9 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 64798c8e-eb4b-469e-b5b5-e099a1ec94cc) - ) - (label "VA3" (at 207.01 91.44 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 6515e776-367b-4da6-bfdb-0dc7d70a1a5e) - ) - (label "VA10" (at 109.22 144.78 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 6645df16-43ac-4b0f-a417-9dc054142ad1) - ) - (label "SD1" (at 254 86.36 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 68bdd818-f07d-48f7-bd92-0441a84982eb) - ) - (label "SD7" (at 259.08 101.6 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 68d2ddff-fca9-4f53-b18c-387c56ee2c1c) - ) - (label "RA0" (at 88.9 121.92 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 6a3b4c7b-9bb1-462c-80bf-f11a94633c12) - ) - (label "RA1" (at 303.53 163.83 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 6b8a8e9f-3ce8-4042-87bf-6da9cabbf3e4) - ) - (label "Cursor" (at 370.84 143.51 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 706544d2-fe0a-41ec-b5bb-b57b5a2a1c33) - ) - (label "VA2" (at 207.01 88.9 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 72675c04-e0ff-4acb-9e0a-c793adc08557) - ) - (label "VA11" (at 207.01 147.32 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 726cb83c-be98-4e35-933c-71bdc59d9096) - ) - (label "VA0" (at 212.09 83.82 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 74bb745b-f8bf-43b2-b386-6d9d1dee4c3c) - ) - (label "A12" (at 161.29 149.86 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 75cbe2df-28c7-49a7-aff7-8c0148ac69ed) - ) - (label "VA6" (at 147.32 99.06 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 77968912-07b2-4c06-8c5a-723333d61c25) - ) - (label "SD1" (at 259.08 143.51 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7869e0a5-755e-4ae5-9655-9aa4e2a17740) - ) - (label "VA12" (at 212.09 114.3 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 787e8707-7726-4c3e-a15e-da95cc89b7f4) - ) - (label "VA14" (at 147.32 154.94 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 78fecabb-6be9-44ee-a90c-7295406aec88) - ) - (label "VA12" (at 147.32 149.86 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 7a9b32c2-3c03-468a-ad03-c39c062504ee) - ) - (label "RA2" (at 88.9 127 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7ca8570c-ae12-4e5f-99fd-60bcf9068be1) - ) - (label "VA3" (at 109.22 91.44 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 801cf96d-b06c-4a2d-954f-5086fcad9d1f) - ) - (label "VA11" (at 109.22 147.32 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 814d6f51-5b46-4240-86ba-3caef1157812) - ) - (label "D0" (at 299.72 83.82 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 82915626-5211-42ba-9dc1-4617e259e0c6) - ) - (label "VA14" (at 207.01 154.94 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 8301a33a-9ade-487a-bd4a-16cc85271951) - ) - (label "A5" (at 161.29 96.52 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 83496ca2-794b-4bd2-accb-e919a29267f7) - ) - (label "VA4" (at 147.32 93.98 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 84de5aeb-75fe-4dc0-967b-084bf105e8ce) - ) - (label "VA7" (at 109.22 101.6 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 84eb6421-f9ec-40ed-b2bb-f6048175dbca) - ) - (label "VA9" (at 93.98 106.68 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 864979cd-1572-45f7-a83a-109e64befbb2) - ) - (label "VA0" (at 147.32 83.82 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 874861dc-f705-4656-acdf-92e5c6de367a) - ) - (label "VA9" (at 147.32 142.24 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 87b0290a-0b67-4e11-92f2-a37813c004bc) - ) - (label "D6" (at 299.72 99.06 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 8971b9df-95ed-4cb1-90fa-ede80baea291) - ) - (label "VA1" (at 212.09 86.36 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 89fba14e-a8b4-4265-8aab-644c376aec3d) - ) - (label "RA2" (at 303.53 166.37 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 89fd05e8-4344-4b64-a96e-cefefbc2ccd4) - ) - (label "VA1" (at 109.22 86.36 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 8a264621-d477-4eb6-91b6-a17a13418e83) - ) - (label "VA6" (at 212.09 99.06 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 8b813268-fa8d-4e66-8d77-a6033d8c40b6) - ) - (label "VA4" (at 93.98 93.98 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 8cc9f5b9-f465-4892-856f-ac0dcc2d0bdf) - ) - (label "SD2" (at 254 88.9 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 8efa6e41-690e-4fa2-984e-84869b1e3471) - ) - (label "VA5" (at 93.98 96.52 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 90af7be0-9482-4616-888c-dad8c219d54c) - ) - (label "VA8" (at 212.09 104.14 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 91f68393-b149-4e72-be61-840f53134666) - ) - (label "RA3" (at 303.53 168.91 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 92b4e4e2-e2ac-4fb5-ac22-9a60bce04c58) - ) - (label "VA3" (at 93.98 91.44 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 938bf863-5752-4dc1-9609-2aea85c48b50) - ) - (label "A2" (at 161.29 88.9 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 960e8315-c8db-457d-8e02-12091bd43662) - ) - (label "VA8" (at 147.32 139.7 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 967fb6d0-ae05-4c01-8b47-c3bfb688854f) - ) - (label "VA2" (at 93.98 88.9 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 9b1b0b63-7f70-49f6-a067-7ab5bbcb1a7b) - ) - (label "A11" (at 161.29 147.32 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 9c5230ea-4f5f-487d-a8d7-6649c62a682c) - ) - (label "SD3" (at 259.08 148.59 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 9e70315f-0f8c-47d4-a1cd-6b58260ddee2) - ) - (label "D7" (at 49.53 101.6 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 9f5e89ef-51c6-4e52-9eef-4429203a1e5c) - ) - (label "D3" (at 49.53 91.44 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a36bd52d-57e3-43fa-8df1-85a393e085b9) - ) - (label "VA13" (at 147.32 152.4 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid a461ce05-e077-4d6f-a7d7-720dd2f5aff5) - ) - (label "VA12" (at 93.98 114.3 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid a7161e75-e650-4608-b446-f8a0029ed8a9) - ) - (label "VA5" (at 147.32 96.52 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid a75ccbbf-a288-47d4-a1b3-dd759411372b) - ) - (label "DE" (at 88.9 137.16 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a9b03514-a68b-4b32-9c25-26d25c3aa53d) - ) - (label "VA3" (at 147.32 91.44 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid ac981d84-bcd2-4097-80e4-9ddcf2fa6b20) - ) - (label "~{DE}" (at 115.57 165.1 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid b0ccfe2d-db07-4fd7-aa56-5b5ab965b5ca) - ) - (label "SD2" (at 259.08 88.9 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid b1496335-c372-4e78-ba23-c55d0fccacb3) - ) - (label "VA4" (at 207.01 93.98 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid b3416484-eed4-44f9-bf82-0f33262415c7) - ) - (label "SD3" (at 259.08 91.44 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid b939eec8-8b7a-45a0-970d-cb3b7d2af9c7) - ) - (label "VA13" (at 93.98 116.84 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid ba133666-ccfc-43de-a96b-a0cc4ce35235) - ) - (label "VA7" (at 207.01 101.6 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid bb65c4a7-2e71-4058-b8dc-d47414001feb) - ) - (label "VA1" (at 93.98 86.36 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid bd74e721-9f8a-44fe-8be8-bcd33daa8c0a) - ) - (label "SD0" (at 259.08 140.97 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid c22ecab2-1222-4bfb-b8fa-a291a7426b77) - ) - (label "SD[0..8]" (at 256.54 137.16 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid c323fd27-3da5-4cb7-b8b6-f4f2036c6f36) - ) - (label "RA3" (at 88.9 129.54 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid c4c9bbf2-7f12-4f01-b7f1-0dc5b88f4224) - ) - (label "SD5" (at 259.08 153.67 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ca283ae9-4a21-4cec-978a-c4d25083f79e) - ) - (label "VA[0..14]" (at 209.55 68.58 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ca8f2e08-fca1-4462-8d46-a2593802ef0c) - ) - (label "VA5" (at 207.01 96.52 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid ccc6ea9e-8c00-4f96-8575-006c6d2297b3) - ) - (label "VA5" (at 109.22 96.52 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ccffa773-4cdd-4455-98b3-ab5d7675ab31) - ) - (label "VA14" (at 109.22 154.94 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid cdf4784e-c41f-4399-826b-1248e3bf632e) - ) - (label "D2" (at 299.72 88.9 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid d07afe84-69ea-40d8-bc14-d2980c9457c0) - ) - (label "VA10" (at 147.32 144.78 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid d0917aa8-96ab-4a5a-bcb6-e1c8824f514a) - ) - (label "VA8" (at 109.22 139.7 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid d3aa8854-8104-4edb-af72-6ab1de138b75) - ) - (label "SD7" (at 259.08 158.75 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid d41d3339-ec09-41bd-bc7c-7c1a1a45787b) - ) - (label "SD2" (at 259.08 146.05 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid da33a1d6-daa8-4738-8124-04afb0a4ffb9) - ) - (label "VA0" (at 207.01 83.82 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid dda94797-c90f-447c-9810-89e05a4cec3d) - ) - (label "SD6" (at 254 99.06 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid e276f984-e634-4916-b720-2d1cd47e8c2b) - ) - (label "D4" (at 299.72 93.98 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid e91732b5-962f-4639-a111-e614b44b1765) - ) - (label "VA8" (at 207.01 139.7 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid eb33e991-60de-4c75-97a9-080d780faaae) - ) - (label "A7" (at 161.29 101.6 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f4c4178a-48e7-4fb2-a676-65b60a4ed6c2) - ) - (label "A8" (at 161.29 139.7 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f4e6377a-e748-4b96-ac2e-9b9578602930) - ) - (label "A1" (at 161.29 86.36 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f59f9534-1527-4b9e-8205-28fd9a997772) - ) - (label "SD5" (at 259.08 96.52 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f6033642-319c-4ed6-8f8b-2bbd2d4eba3a) - ) - (label "DE" (at 102.87 267.97 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid f633a8d8-f730-4ead-a4f1-2e6c4c964a2d) - ) - (label "VA0" (at 93.98 83.82 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid f734d1b9-4a77-4aa8-b65f-320a9941fd01) - ) - (label "D2" (at 49.53 88.9 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f88cfaeb-c90a-4156-b9eb-22ee488c7327) - ) - (label "VA14" (at 212.09 119.38 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid fa26dbe1-9eca-45a8-b72b-1f3da4f9bb5d) - ) - (label "SD7" (at 254 101.6 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid fa966d03-f9d7-4e8a-a7a0-4a5e8bcf37da) - ) - (label "Cursor" (at 88.9 144.78 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid fc15d6d6-d8f6-4dce-8e53-02f89052479b) - ) - (label "VA6" (at 207.01 99.06 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid fd28cf67-9fa5-4fb4-aafb-d814dd76cc94) - ) - (label "SD0" (at 259.08 83.82 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ff9fe3cf-5b88-481c-b586-ffdd5fcb091d) - ) - - (global_label "VIDEO" (shape output) (at 386.08 140.97 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 0877be5e-792d-4aa8-8e2b-9beaf06c702c) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 394.5081 140.97 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "~{RESET}" (shape input) (at 58.42 116.84 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 0a64c5b2-5653-4a59-9569-3e961c396bd5) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 49.6897 116.84 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "VRAM LSB" (shape input) (at 170.18 152.4 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 170766e7-f76f-4d43-bae8-e9bbc90f1cb9) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 157.821 152.4 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "~{VRAM}" (shape input) (at 267.97 109.22 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 236a425f-d03e-4e8e-9551-b08adee56154) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 260.0862 109.22 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "~{VRAM}" (shape input) (at 50.8 207.01 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 25662b45-a128-4f28-81d7-994a8a6b6d41) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 42.9162 207.01 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "VRAM Page" (shape input) (at 102.87 252.73 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 286d54ea-1b59-4efb-b5c2-4c7f62666c4a) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 89.362 252.73 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "R{slash}~{W}" (shape input) (at 58.42 106.68 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 2e09edbc-d9ac-47f6-86e3-9afe792ccd48) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 51.3829 106.68 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "R{slash}~{W}" (shape input) (at 267.97 106.68 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 3547587f-07c4-407d-853a-8b3ec586d890) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 260.9329 106.68 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "Charset 1" (shape input) (at 303.53 176.53 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 49dfd157-2be8-4501-87a4-fba83886ae95) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 291.1711 176.53 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "1.5M" (shape input) (at 267.97 163.83 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 568c6af5-93b6-4672-9715-068ac6629025) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 260.5096 163.83 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "~{CRTC}" (shape input) (at 58.42 109.22 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 5dd7207c-8c37-4198-8d72-8f65ef1590fe) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 50.6572 109.22 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "~{R}{slash}W" (shape input) (at 66.04 195.58 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 7b2db075-3b5c-492c-95d2-987aaafb0fd2) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 59.0029 195.58 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "HSync" (shape output) (at 88.9 139.7 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 7d4ff416-13e4-4c43-94ca-41af71340017) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 97.6304 139.7 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "2M" (shape input) (at 58.42 114.3 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 8d4466bd-c549-4c01-afb3-940ed258117e) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 52.7739 114.3 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "~{VRAM Page}" (shape output) (at 118.11 252.73 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 8daeba46-e2d2-425b-b650-ab4185545f28) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 131.618 252.73 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "VSync" (shape output) (at 88.9 142.24 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 96759c5e-0811-4148-8643-782360ac0769) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 97.3885 142.24 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "12M" (shape input) (at 336.55 168.91 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid a2410255-e501-4882-9001-c76763d7d770) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 329.6944 168.91 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "~{VRAM}" (shape input) (at 170.18 109.22 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid b8e8ab80-6c73-4cc6-b4bd-71baeabe3e87) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 162.2962 109.22 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "D[0..8]" (shape bidirectional) (at 302.26 63.5 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid c54f62df-bbbb-4aaa-b2bb-73e3ffcd87f4) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 312.9485 63.5 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "A[0..8]" (shape input) (at 44.45 181.61 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid c573dda1-69e5-4a19-a47c-3e2ea9b041e1) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 35.0542 181.61 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "~{VRAM}" (shape input) (at 170.18 165.1 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid cda7bdca-50fd-41f9-b9c5-fa06f79db713) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 162.2962 165.1 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "~{IRQ}" (shape output) (at 95.25 137.16 0) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid ce418e7e-fd0f-4858-bb7f-42f2baa47d9a) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 101.4405 137.16 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - ) - (global_label "VRAM MSB" (shape input) (at 170.18 154.94 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid d5897809-5e4d-4d83-a6f3-e2760ca1dc2e) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 157.3977 154.94 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "Charset 0" (shape input) (at 303.53 173.99 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid d7c829bf-174b-4ee1-b41e-42f958d34191) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 291.1711 173.99 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - (global_label "1.5M" (shape input) (at 58.42 121.92 180) (fields_autoplaced) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid fafb9c43-b60a-4b19-8e01-19fae89e0914) - (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 50.9596 121.92 0) - (effects (font (size 1.27 1.27)) (justify right) hide) - ) - ) - - (symbol (lib_id "power:+5V") (at 128.27 132.08 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 005c4838-30c8-4d48-89a2-ef88dab64e59) - (property "Reference" "#PWR078" (at 128.27 135.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 128.27 127 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 128.27 132.08 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 128.27 132.08 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid ffb2f1fa-b4ad-4661-ba91-661389b32ffc)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR078") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 128.27 116.84 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 020734e2-9db3-44ab-adaa-adb1a17b885f) - (property "Reference" "#PWR079" (at 128.27 123.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 128.27 121.92 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 128.27 116.84 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 128.27 116.84 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 798c340c-f7ec-4f67-b43c-19529186a81f)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR079") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 182.88 116.84 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 0befd997-4e33-4cf2-bc72-14a639eea5b6) - (property "Reference" "#PWR055" (at 182.88 123.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 182.88 121.92 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 182.88 116.84 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 182.88 116.84 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid da4cd67e-277b-4ac2-8c8c-7e2e238ce2eb)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR055") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 73.66 149.86 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 0c1b6189-c896-44bc-b65b-56734bd7309b) - (property "Reference" "#PWR012" (at 73.66 156.21 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 73.66 154.94 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 73.66 149.86 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 73.66 149.86 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid d962796e-4df5-4c54-ba13-a18dc959eeb8)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR012") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 280.67 116.84 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 113bb69e-f02d-48a9-9ef4-db4376ef0afd) - (property "Reference" "#PWR038" (at 280.67 123.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 280.67 121.285 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 280.67 116.84 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 280.67 116.84 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 50749c2e-4946-4c6a-ab9a-49176e92cd08)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR038") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 280.67 133.35 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 120e2e25-bca7-4b29-9b59-7da7930805d3) - (property "Reference" "#PWR0103" (at 280.67 137.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 280.67 128.27 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 280.67 133.35 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 280.67 133.35 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid adeccf14-57e4-454d-852f-d1874385a078)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR0103") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC245") (at 280.67 96.52 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 1736d452-6638-4660-b2a8-176e83fe8667) - (property "Reference" "U13" (at 282.8641 76.2 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "74HC245" (at 282.8641 78.74 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-20_W7.62mm_Socket" (at 280.67 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" (at 280.67 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 106392e5-f95c-48d1-a090-ce709b0193ac)) - (pin "10" (uuid b108f8f7-c5a4-4789-a978-20b4dfbb8c61)) - (pin "11" (uuid 513ae6af-4414-4a5d-8fa2-ea30e666cec3)) - (pin "12" (uuid fa06a3ab-3ae8-4ebf-9783-a1beb648ae77)) - (pin "13" (uuid 61495c69-a5a0-4bd8-8225-f08ab732c3fd)) - (pin "14" (uuid c3c9a403-acd9-4811-9f6b-d0611f5bb97f)) - (pin "15" (uuid 9780afd0-0c5c-4b5c-9e68-5b73683b02a3)) - (pin "16" (uuid 0bbf7bb1-d6d5-4b08-8321-d3b16ba2f32b)) - (pin "17" (uuid ecb3a9ff-a0a3-4892-82f1-60357ff50dc3)) - (pin "18" (uuid 9b3e8b20-9d93-447d-be71-e4d183eadd62)) - (pin "19" (uuid 0a27d0f3-6da2-465f-b400-90b8d43dfec8)) - (pin "2" (uuid ccf9d588-e3ee-43b5-a692-99b9759b4eb5)) - (pin "20" (uuid a543d69f-f9ed-462f-a4fb-c1eb7934597f)) - (pin "3" (uuid 1549b46a-6f42-4af2-b762-881883815a22)) - (pin "4" (uuid 44b80b71-a371-4931-9271-acdae5e3a994)) - (pin "5" (uuid 78adbe73-1aff-47f9-bf28-4d3f0813aae5)) - (pin "6" (uuid f6b89691-9527-4c23-8c9e-f673d9d7406f)) - (pin "7" (uuid 98458a1c-2ae3-41c9-b711-40e7e8928909)) - (pin "8" (uuid cfec1f2c-8b32-48fd-bf70-9b0c8f0bc6b5)) - (pin "9" (uuid 524da193-560c-4fbb-bbc3-ac0b456dd971)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U13") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 182.88 172.72 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 18311894-63aa-4e95-8312-2d1a0def0112) - (property "Reference" "#PWR046" (at 182.88 179.07 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 182.88 177.8 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 182.88 172.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 182.88 172.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 50323dbd-c8cc-45e2-adae-9217405a3310)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR046") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC165") (at 349.25 153.67 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 1937d8e6-56c0-4e66-a337-9c5981f15065) - (property "Reference" "U10" (at 351.4441 130.81 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "74HC165" (at 351.4441 133.35 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-16_W7.62mm_Socket" (at 349.25 153.67 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/74HC_HCT165.pdf" (at 349.25 153.67 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f1922dff-b299-4e70-978e-db802b883285)) - (pin "10" (uuid 33f816f8-a52c-4856-83e8-e709c86f7651)) - (pin "11" (uuid c0c19fd8-8de9-4c7e-a12e-0ff8f6b853c2)) - (pin "12" (uuid 4357bcda-cc30-491b-90db-6b0caee54359)) - (pin "13" (uuid fa8119ef-5280-4414-858c-24feabd6a5b1)) - (pin "14" (uuid 1711e0d5-406c-4612-a267-6cc4863019c9)) - (pin "15" (uuid 1234e480-5c9a-4057-a3d8-d2a28c66d9ea)) - (pin "16" (uuid afe883ff-b3c1-47a6-ae9f-fa30f33e41d0)) - (pin "2" (uuid e733c8dd-9c30-44b0-87cd-8f8b8230ad0d)) - (pin "3" (uuid b3f795d1-2b31-4b70-9ef0-86227db2c4f2)) - (pin "4" (uuid 95d32355-ae92-491e-b52c-485701a1d668)) - (pin "5" (uuid e7efcd87-d5a5-43c1-bfdb-03fe4b591f79)) - (pin "6" (uuid 9da3e4bb-fd64-4890-80da-3a43640cc49b)) - (pin "7" (uuid b86e22e7-fb2c-4666-b46c-4042808e6c77)) - (pin "8" (uuid 4426c07f-3a4b-43b0-a92f-7eae9bf83b4f)) - (pin "9" (uuid 2ce1c6b6-eb9e-4e30-ad8d-30477a1ca4a2)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U10") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC14") (at 58.42 207.01 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 19a34d72-092f-47aa-bf97-5316e41bf84d) - (property "Reference" "U6" (at 58.42 198.12 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC14" (at 58.42 200.66 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" (at 58.42 207.01 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" (at 58.42 207.01 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 192b7e12-f1b5-44f2-8249-359ed7957a31)) - (pin "2" (uuid a6069966-2d5a-402d-95fe-902859526547)) - (pin "3" (uuid 07843629-4614-4bf0-814a-bb5b0bfbe933)) - (pin "4" (uuid ec4f642b-fdbb-4028-9537-a94eb2c10132)) - (pin "5" (uuid 5b9c8366-b060-4d26-8d51-43d81b7c3798)) - (pin "6" (uuid 27adc571-719d-4ad7-a4e6-77f8cb177131)) - (pin "8" (uuid 1001060b-6d91-4095-8fd0-a1ade25a6c5d)) - (pin "9" (uuid 9939df3f-4e4d-4398-8768-223dc90ab199)) - (pin "10" (uuid 771311ed-d702-4e8c-bc80-26f26c4f6179)) - (pin "11" (uuid 7acde5d5-883d-4d50-8478-efb479f94fa7)) - (pin "12" (uuid 3b198545-e88e-41bd-9fea-29efedff8188)) - (pin "13" (uuid 440ed419-0b0d-41fc-99e2-1b3712d5a3fa)) - (pin "14" (uuid 12d2a95d-63bd-4462-9893-d07209bfc79f)) - (pin "7" (uuid 8d0f4749-982e-4602-8412-9eaaf446667b)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/8fb99111-08f0-4698-8086-cb89d138e39a" - (reference "U6") (unit 1) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U14") (unit 3) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c" - (reference "U14") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 170.18 106.68 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 1aae064c-7e14-4c96-9b41-1ae393e33952) - (property "Reference" "#PWR039" (at 173.99 106.68 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 166.37 106.68 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 170.18 106.68 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 170.18 106.68 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid c6959795-dc23-4083-a2e0-e2ce14184a26)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR039") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 182.88 76.2 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 1db32bb7-a85a-4881-87bc-1e91e057191c) - (property "Reference" "#PWR062" (at 182.88 80.01 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 182.88 71.12 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 182.88 76.2 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 182.88 76.2 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 98753a4a-5e21-4184-baba-5ae54d5b4b9b)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR062") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 236.22 250.19 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 253410b6-d3ce-4c2e-9a58-d9e8e9d99077) - (property "Reference" "#PWR070" (at 236.22 254 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 236.22 245.11 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 236.22 250.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 236.22 250.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid a7890409-27b1-4208-b358-2286d58b20dc)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR070") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 115.57 162.56 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 2ae870b0-a51c-4dfa-bf9f-82ca4547531b) - (property "Reference" "#PWR042" (at 119.38 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 111.76 162.56 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 115.57 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 115.57 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 1b5fd129-d000-4f78-a20f-ce0b6de20645)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR042") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC00") (at 73.66 200.66 0) (unit 3) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 2c857413-8846-4be7-9852-8981997d79d0) - (property "Reference" "U12" (at 73.6517 191.77 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC00" (at 73.6517 194.31 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" (at 73.66 200.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hc00" (at 73.66 200.66 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 64f5d624-f578-4201-b7c2-89ec505b4a11)) - (pin "2" (uuid e2a57ac3-e5e7-4eb8-af3d-a30e132d84d5)) - (pin "3" (uuid dd72ff90-a68b-476b-81ff-0bb0edd332c2)) - (pin "4" (uuid 7b4d89fd-3de7-4feb-8c84-94a4c9f28825)) - (pin "5" (uuid a42ea1a2-857a-4fe8-b246-b4cf6eff8922)) - (pin "6" (uuid 99f9d049-dbe9-4d3a-b0a1-78980ec8312f)) - (pin "10" (uuid 57a8db50-0003-476c-80f7-06dc37558de1)) - (pin "8" (uuid 59eddfaf-5447-41a1-96f6-fa09881ecb62)) - (pin "9" (uuid 5743f7eb-5a15-438c-8bf4-53956ffc4ab9)) - (pin "11" (uuid f26df5c9-063d-4486-ad4a-001221af9be9)) - (pin "12" (uuid 83d257c7-12ac-4d7d-8055-275e7b0556da)) - (pin "13" (uuid 534c1623-65cf-48e7-a396-495fd54a4a8b)) - (pin "14" (uuid 56d47e2b-ecf5-4d22-9dd5-f5514aa9e0ae)) - (pin "7" (uuid 0cf88d0c-1c21-4bf0-973e-fcca5e0b754a)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/8fb99111-08f0-4698-8086-cb89d138e39a" - (reference "U12") (unit 3) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c" - (reference "U12") (unit 3) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U12") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 295.91 181.61 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 3049d0e5-666f-42a5-9580-22c41ddc49cc) - (property "Reference" "#PWR026" (at 299.72 181.61 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 292.735 181.61 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 295.91 181.61 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 295.91 181.61 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 006e27a0-2152-47ad-a686-92eae4d42b56)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR026") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "kitty:R") (at 299.72 181.61 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 344fe0e3-57ca-48f5-9701-c73e1a39af5a) - (property "Reference" "R5" (at 299.72 175.895 90) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "3.3k" (at 299.72 178.435 90) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal" (at 299.72 183.388 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (at 299.72 181.61 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 45bb5f3c-38d3-4e3f-ac84-7ef7b0370d8d)) - (pin "2" (uuid 47ef3db7-180a-4f45-a4e9-d962e79db421)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "R5") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC245") (at 128.27 152.4 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 48879747-9393-41fc-8a1e-99ed5f3432f3) - (property "Reference" "U30" (at 130.4641 132.08 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "74HC245" (at 130.4641 134.62 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-20_W7.62mm_Socket" (at 128.27 152.4 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" (at 128.27 152.4 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 244946fa-4072-4204-a222-c3d0da413da7)) - (pin "10" (uuid dff42ed2-6e85-4dad-9091-8b4e1b07271e)) - (pin "11" (uuid 4d0f1949-75b9-4dea-a0cf-757cfd98c45f)) - (pin "12" (uuid cf5334e3-1a65-47fe-9187-05d4608b51bc)) - (pin "13" (uuid 703bf412-1656-4806-8b4e-ab897ce49cd5)) - (pin "14" (uuid 9a7595c8-37b8-4d7e-b475-42cafaeda28a)) - (pin "15" (uuid 6d13a254-5608-4127-8524-710b561d8755)) - (pin "16" (uuid c3af1036-5e5c-448c-95af-a56ce4463bde)) - (pin "17" (uuid 847a13f3-3c4b-4230-88a3-2720c3935075)) - (pin "18" (uuid 53996533-45a9-47bb-b9a6-5b5927e28ad8)) - (pin "19" (uuid 74d4ef6d-edcc-48c8-acdd-637ee43beafe)) - (pin "2" (uuid bf9d4c4c-563e-4a7f-9fdb-7274890b8257)) - (pin "20" (uuid 05dbb3e1-c4d1-41a7-85d2-57e7c7734dca)) - (pin "3" (uuid 83c6b415-71bb-4fdb-9de4-7489f0c258f3)) - (pin "4" (uuid 3905772a-8b42-46bc-acfc-8d0d5b449692)) - (pin "5" (uuid f4e93af0-8be6-4d3c-9ffd-040589ed2a9c)) - (pin "6" (uuid 86a1c331-2bbf-4337-b8d6-0e1f56c4a99b)) - (pin "7" (uuid ab3a7ba3-fea4-42fa-9736-f57bcd5fb028)) - (pin "8" (uuid 84cd9f14-3897-4816-9881-bbde763d1bd4)) - (pin "9" (uuid 32f74b66-c0f5-43d3-94d0-ae12ad009ab8)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U30") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 280.67 173.99 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 49c9117a-339b-4715-a11b-0ad76dac191d) - (property "Reference" "#PWR0101" (at 280.67 180.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 280.67 179.07 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 280.67 173.99 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 280.67 173.99 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 44085dee-dd36-40fb-97b3-bec1e2f304d6)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR0101") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC14") (at 110.49 267.97 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 4f5188ca-50cc-40c0-bb1e-a23d3ba15027) - (property "Reference" "U6" (at 110.49 259.08 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC14" (at 110.49 261.62 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" (at 110.49 267.97 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" (at 110.49 267.97 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f4ddf280-665f-4377-aa27-ec8f59fa88d4)) - (pin "2" (uuid a2e5f28c-b6ff-4976-9396-004141f7e16e)) - (pin "3" (uuid ff473e45-6de8-4607-9c7d-8331181fe75f)) - (pin "4" (uuid 4906c10c-8c93-4c36-b7f7-240f55b00094)) - (pin "5" (uuid 8780b839-c344-4405-a353-9baa01c32aa3)) - (pin "6" (uuid f0dd1dc0-5af9-40c4-a88e-68120203fac0)) - (pin "8" (uuid f4e8f256-22be-4768-880c-25468f242f66)) - (pin "9" (uuid 6ee77c4c-67c7-44a0-9464-d64c1cfa8983)) - (pin "10" (uuid 2ae1cd6c-2fa7-4414-9a7b-3b626f611a76)) - (pin "11" (uuid d564c706-73dc-4ef2-9f96-a6c5a4e8d949)) - (pin "12" (uuid 415c92ef-0361-446c-a7e8-78ef4c062d5f)) - (pin "13" (uuid f1af3e80-840f-443f-9195-d505153dea5c)) - (pin "14" (uuid 926a0df9-3a8f-4ec8-994d-8248188a6a98)) - (pin "7" (uuid 6e545a4f-a7d5-40c0-86c7-c759675a4514)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/8fb99111-08f0-4698-8086-cb89d138e39a" - (reference "U6") (unit 1) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U14") (unit 2) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c" - (reference "U14") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 303.53 186.69 270) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 5b225afb-11b1-46e5-9bb7-3a82bf589e6c) - (property "Reference" "#PWR060" (at 297.18 186.69 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 299.72 186.69 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (at 303.53 186.69 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 303.53 186.69 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f8ac1b67-56bb-49f9-b03d-81eee02cfcc0)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR060") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 349.25 130.81 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 5c532a0e-2aed-4e2e-bdaf-361767cd329a) - (property "Reference" "#PWR0107" (at 349.25 134.62 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 349.25 125.73 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 349.25 130.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 349.25 130.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 4d512fbb-e99c-43fd-8d09-a5ac963e02d3)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR0107") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC32") (at 161.29 255.27 0) (unit 2) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 60634f04-fb35-4f3d-a9dc-a13539495ee3) - (property "Reference" "U24" (at 161.29 246.38 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC32" (at 161.29 248.92 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W7.62mm" (at 161.29 255.27 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc32.pdf" (at 161.29 255.27 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f4d07b20-8798-46f1-a7ad-a34c8e56b99a)) - (pin "2" (uuid ed48a256-27ec-4d99-b9fc-a2c542c9b8d7)) - (pin "3" (uuid e505f0ad-e599-4ad3-bcf9-1562e72f4d66)) - (pin "4" (uuid ee652032-fa65-48d1-90cd-94befcc2bc02)) - (pin "5" (uuid fde652b9-d992-4ab4-b9ec-73a0163cf04f)) - (pin "6" (uuid ba57e12b-4d69-479d-983f-a7d371c4cc03)) - (pin "10" (uuid 19d99688-f940-4c85-bb84-8f5b06037648)) - (pin "8" (uuid edd37880-d474-4c5b-ae46-ded34288d2db)) - (pin "9" (uuid f7f5e08b-e715-46ee-89cd-c357683171cf)) - (pin "11" (uuid 38976b02-7d3a-459a-b56e-10fc36994418)) - (pin "12" (uuid 90d333bb-9e22-4884-aa7e-e9205119cb98)) - (pin "13" (uuid c909b981-308f-4f6e-bbbb-11b4faaadee2)) - (pin "14" (uuid 09303ef2-112c-41b4-b0b7-f2d8ea5b26ad)) - (pin "7" (uuid 37bf7904-09aa-4bf4-b845-e6a44e45a4ec)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U24") (unit 2) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 313.69 191.77 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 60d1500e-52c3-410a-a463-e23be4cefa40) - (property "Reference" "#PWR0102" (at 313.69 198.12 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 313.69 196.85 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 313.69 191.77 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 313.69 191.77 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 8981f455-f792-46be-8dd4-ec8f2ecffe19)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR0102") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC08") (at -21.59 205.74 0) (unit 5) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 64434230-b1b3-422c-b96a-a2f60113458c) - (property "Reference" "U25" (at -13.97 204.47 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "74HC08" (at -13.97 207.01 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-14_W10.16mm" (at -21.59 205.74 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc08.pdf" (at -21.59 205.74 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b54e8a9c-6b72-4d5f-a436-7e1b8b809390)) - (pin "2" (uuid 43c07166-db4b-4796-abad-646c022b3e24)) - (pin "3" (uuid 76bb16d7-e741-49d8-89f1-f8eaddbc81be)) - (pin "4" (uuid 0805b622-2b68-4507-951d-63c3e6dcff71)) - (pin "5" (uuid ae5b36af-4915-4147-9a46-8b7b8811c73d)) - (pin "6" (uuid 9c78c3f7-2b7a-4559-8732-9494b84b80cc)) - (pin "10" (uuid 88b83969-cbff-4312-bccb-6827f26c5b96)) - (pin "8" (uuid 084e1eb5-0a7f-4167-bd9e-eca8cbbb1d62)) - (pin "9" (uuid 097e2f44-9f8c-46f1-bb46-43780a82df7d)) - (pin "11" (uuid 8f9541d0-7609-4e33-90ac-92235eb21e9e)) - (pin "12" (uuid b6aa78cb-f888-43db-b1b4-155fab3c6c4b)) - (pin "13" (uuid c62b2dbe-8878-42c0-a0c4-c76880c9dbe2)) - (pin "14" (uuid 34e7167a-ac26-417b-9740-4c16d9aa24cc)) - (pin "7" (uuid 2aaf3309-e2c9-462d-9862-33c1fc19d801)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U25") (unit 5) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 128.27 172.72 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 6829b9fc-7613-4537-9d98-ee0826faeb82) - (property "Reference" "#PWR077" (at 128.27 179.07 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 128.27 177.8 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 128.27 172.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 128.27 172.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 1f1ab9c8-e901-4168-95e7-9c108a92aa25)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR077") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 349.25 179.07 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 69a73cbc-2869-4022-9192-b351fa4b74fb) - (property "Reference" "#PWR0108" (at 349.25 185.42 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 349.25 184.15 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 349.25 179.07 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 349.25 179.07 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid c0a21c1c-d159-495d-bee6-b5b700518f1f)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR0108") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 267.97 166.37 270) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 756c4b3b-14eb-4428-a9be-a3e20b156ae1) - (property "Reference" "#PWR043" (at 261.62 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 264.16 166.37 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (at 267.97 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 267.97 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 3363460e-4b31-42ab-bafa-65ebaba90b18)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR043") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC32") (at 204.47 255.27 0) (unit 4) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 79fd13f7-c5b2-4745-8c15-945728d5eb4c) - (property "Reference" "U24" (at 204.47 247.015 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC32" (at 204.47 249.555 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W7.62mm" (at 204.47 255.27 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc32.pdf" (at 204.47 255.27 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid dd40e6cb-e84d-4294-94a2-160427915b40)) - (pin "2" (uuid 02023f30-05f8-4971-b0bf-5b39615441c0)) - (pin "3" (uuid 249b60e2-8d78-49b3-b231-9da0f78f117d)) - (pin "4" (uuid bcc022cf-c220-45c0-981e-866625f18853)) - (pin "5" (uuid 8fc666c1-a8b5-4514-a8dc-1700ef57debe)) - (pin "6" (uuid 96d83d42-9b40-4aa4-8c6f-51778c236c96)) - (pin "10" (uuid f6a74287-92e4-45d2-bfcd-a303d497198f)) - (pin "8" (uuid 568b531e-f945-470b-b9af-f927e5a85b37)) - (pin "9" (uuid da6108d8-52c7-4da8-8f42-a3e67bdf44a7)) - (pin "11" (uuid 70e2814f-16d1-4458-ad1f-764b28791732)) - (pin "12" (uuid 88f1da73-58f2-4870-a58c-74efbfc20c2a)) - (pin "13" (uuid d1736958-eb96-4743-bd09-0be2bed44165)) - (pin "14" (uuid d08e6f87-a0db-4a51-a9c2-a039055152d6)) - (pin "7" (uuid bf8cbf50-5472-49da-9e47-dde77095899c)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U24") (unit 4) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC14") (at 30.48 220.98 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 7c1c816f-7a2b-48e3-a0c5-3faa104d42bb) - (property "Reference" "U6" (at 30.48 212.09 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC14" (at 30.48 214.63 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" (at 30.48 220.98 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" (at 30.48 220.98 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b65b302e-5e6f-49fa-979e-d4b2260888a6)) - (pin "2" (uuid cb0e4355-ed95-427f-bc42-727d432f8457)) - (pin "3" (uuid 5e70cdc2-7c46-43d2-83c7-9a9569d1b554)) - (pin "4" (uuid 5d2c3163-b98f-4e0c-ac5f-9cabe641514f)) - (pin "5" (uuid bc5da27b-aacc-4976-8363-95b8a45768f8)) - (pin "6" (uuid 74f35ffb-ca40-48ea-b1bd-296fddf6d580)) - (pin "8" (uuid c2a30e52-9b40-4cb9-80a5-ee881e1ffdde)) - (pin "9" (uuid 84a5cf3a-3bd9-46ed-8316-3ced03cd0c60)) - (pin "10" (uuid 58143198-fb40-4c2d-b70e-26af69065074)) - (pin "11" (uuid 95a9c74e-2abe-4c8d-b655-243b3086102b)) - (pin "12" (uuid 2401f564-c442-45ab-acf2-5879759559e1)) - (pin "13" (uuid e57f9be6-6347-4b0f-917b-999781e5bc88)) - (pin "14" (uuid 14b70801-1073-4655-8aa8-033f9590e8f7)) - (pin "7" (uuid c273f716-b96e-492f-8582-7cc00dd6b406)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/8fb99111-08f0-4698-8086-cb89d138e39a" - (reference "U6") (unit 1) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U14") (unit 5) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c" - (reference "U14") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "Memory_RAM:CY62256-70PC") (at 232.41 101.6 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 7cbafe16-e970-4ded-bcb0-402f063a3385) - (property "Reference" "U4" (at 234.6041 76.2 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "62256" (at 234.6041 78.74 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-28_W15.24mm" (at 232.41 104.14 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://ecee.colorado.edu/~mcclurel/Cypress_SRAM_CY62256.pdf" (at 232.41 104.14 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "14" (uuid 8fe958cb-17f2-4591-8210-82aa994cfa8f)) - (pin "28" (uuid 526ec648-16ef-4a48-822c-52a9c22f7304)) - (pin "1" (uuid db6caf66-e83e-47e4-b85e-0bf1b227b90d)) - (pin "10" (uuid e9dbab0a-b765-436c-a0de-ec65c05a6cbb)) - (pin "11" (uuid 47e0444c-bd05-4792-8437-f7208e277183)) - (pin "12" (uuid 153c0ff4-2ac2-4a80-9d95-dd31bce94c39)) - (pin "13" (uuid 30797d3a-faa1-49e6-8a48-001359bbeaa9)) - (pin "15" (uuid 78731fe6-8944-440d-bf89-c5d960aa00ae)) - (pin "16" (uuid bf8dcc41-27f8-4bce-8441-03da155c3ee3)) - (pin "17" (uuid df22278f-e01f-450a-a043-382caead2b91)) - (pin "18" (uuid cbec5934-c295-4e79-82fa-c01c2cc05f8d)) - (pin "19" (uuid 9d5ba15c-c98f-46a9-b39c-1f4997f4452a)) - (pin "2" (uuid 6e835900-b22b-4b76-ad14-eae1263124ba)) - (pin "20" (uuid 2ce5a84e-d20c-43eb-8d63-548c09ec0c38)) - (pin "21" (uuid 890db407-2a3c-44a9-bae7-03df10a31081)) - (pin "22" (uuid 4564e84d-9f46-4546-9429-7ea3c80b7c51)) - (pin "23" (uuid 0d216d98-1b66-4e39-ab27-4847ee0579cc)) - (pin "24" (uuid 5a30fba6-d5bb-4d4a-a297-f8b35ec8e2c8)) - (pin "25" (uuid ec5e1bdb-7b6c-493e-b877-aec399457c50)) - (pin "26" (uuid 3394678c-ec70-4211-a209-c780f8ca17a5)) - (pin "27" (uuid cf44f94b-08ee-4531-9690-a526645bcb61)) - (pin "3" (uuid 60b8679f-a0ad-46d3-a692-556665854dba)) - (pin "4" (uuid e367260c-e275-4b6c-872c-69ed5d71c234)) - (pin "5" (uuid 8d38122f-eac4-4a97-a6e5-b9e7608f3048)) - (pin "6" (uuid bfe4a8fc-4bcc-4018-a875-5a724c68c563)) - (pin "7" (uuid 1b939a45-387a-48a6-83e4-dc667820fd3f)) - (pin "8" (uuid 551cec48-86c8-4844-9894-26c9ba24603f)) - (pin "9" (uuid 07aace3b-c201-4e78-b04c-66a696b9a2b3)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U4") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 128.27 76.2 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 7f09c7fd-b7e8-4806-b751-8eb4ae51dec1) - (property "Reference" "#PWR080" (at 128.27 80.01 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 128.27 71.12 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 128.27 76.2 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 128.27 76.2 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 844d058e-46c8-41f7-a3cb-7f863ef8ff33)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR080") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC08") (at -19.05 146.05 0) (unit 3) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 812229a1-30fa-4466-8fcb-f0535980a226) - (property "Reference" "U25" (at -17.7883 137.16 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC08" (at -17.7883 139.7 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W10.16mm" (at -19.05 146.05 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc08.pdf" (at -19.05 146.05 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 8e69cb26-989b-431a-adb0-92049c79e132)) - (pin "2" (uuid 4417d85f-64cc-449d-90a9-505791a5b083)) - (pin "3" (uuid 2e51191a-9441-4a5c-97a0-b65292f039b6)) - (pin "4" (uuid 1b9289e9-ba14-4caf-892e-6f70c1de4bd7)) - (pin "5" (uuid b449a6ad-5f54-4b28-b428-9d335171cfcb)) - (pin "6" (uuid 407e060e-b668-4e26-af5c-5c98a8dde06c)) - (pin "10" (uuid 81e5a1b7-37e1-4c46-bf0d-976ab8eb45ba)) - (pin "8" (uuid 9cadf6ed-c148-47fb-9962-6d645b362f2c)) - (pin "9" (uuid e9eae34d-0736-4230-b249-ca5242c9acec)) - (pin "11" (uuid baabb577-10b9-4504-9d12-7c49611e8339)) - (pin "12" (uuid 9e4a1a2c-839a-437b-9d9b-8da697888e73)) - (pin "13" (uuid 89437c7a-179c-4677-b92e-3f7a00e8da6b)) - (pin "14" (uuid 49d63ad1-8011-4f8a-ad77-9ae9bc381f70)) - (pin "7" (uuid 10d0d8d7-c7cb-471a-99a7-62aa38ba8641)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U25") (unit 3) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 232.41 124.46 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 8666c010-359b-4d29-81c6-d5cec5f2c33f) - (property "Reference" "#PWR0104" (at 232.41 130.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 232.41 129.54 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 232.41 124.46 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 232.41 124.46 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f7a6a183-1968-4b10-95e9-2612cd615491)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR0104") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC373") (at 280.67 153.67 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 893ed6ad-b05c-4dba-88a0-bff6df381c4a) - (property "Reference" "U9" (at 282.8641 133.35 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "74HC373" (at 282.8641 135.89 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-20_W7.62mm_Socket" (at 280.67 153.67 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/cd54hc373.pdf" (at 280.67 153.67 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid ea48720c-78d2-4159-bc92-eb56720d1f9f)) - (pin "10" (uuid 56f669f3-1f92-470a-98d0-35dfc71956b6)) - (pin "11" (uuid f502dace-e0fe-4285-b49c-5b7ccd5bf76a)) - (pin "12" (uuid fbfacb7f-7d5a-4e7e-b291-cff5e269785a)) - (pin "13" (uuid da0dbd68-738d-4a69-85d7-8a10520a8507)) - (pin "14" (uuid 57d7a190-3926-4bb2-bfe1-e532d8a84364)) - (pin "15" (uuid a3c886ec-408b-48a5-a57c-ca196d0cd74b)) - (pin "16" (uuid 483d7684-2bb6-4b22-b4e6-c779d3e8a63a)) - (pin "17" (uuid 14d58584-f022-4dbd-8a0b-8380985f5657)) - (pin "18" (uuid 484dcaf8-2679-481e-8e07-ba90584200f2)) - (pin "19" (uuid 567585ef-a71b-44db-8d99-b098e7491534)) - (pin "2" (uuid 0477c998-6653-43e4-85e2-eeeb6db6697e)) - (pin "20" (uuid aeb7415b-437a-40ac-86d6-6e8ad7e0035b)) - (pin "3" (uuid 1e1c8db7-e183-4653-a330-5a87cb8d1086)) - (pin "4" (uuid 7153a988-3fd5-4016-965e-461cf5b37019)) - (pin "5" (uuid 1ec3d6d9-81b9-4b3d-9477-62cb6539fd13)) - (pin "6" (uuid 212caf1d-06d7-43ca-af8a-421c2a02d63a)) - (pin "7" (uuid e3850e35-313f-42ae-92dc-001c2835eb8a)) - (pin "8" (uuid 83344d21-217c-4b3d-83fb-f0135594f306)) - (pin "9" (uuid 619ca47a-5d00-43b7-b30f-8cd541b0fd01)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U9") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC245") (at 182.88 152.4 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 89e7a056-36a4-47f1-85bf-d1bd808116ce) - (property "Reference" "U22" (at 185.0741 132.08 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "74HC245" (at 185.0741 134.62 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-20_W7.62mm_Socket" (at 182.88 152.4 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" (at 182.88 152.4 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f90398f6-19af-40d3-8c85-99f2951c52fe)) - (pin "10" (uuid d93158cf-da36-40d5-8a7a-e2a758dd7558)) - (pin "11" (uuid 3324cc9e-f2be-4ea6-9d4c-d4333a613fe4)) - (pin "12" (uuid ecaed3cd-c30a-44a9-b0ef-1cd3db082d08)) - (pin "13" (uuid ee9a56a8-89cf-4f39-b74d-fa21a9ac2722)) - (pin "14" (uuid 3329830e-f79c-4109-bef1-be9ca853250f)) - (pin "15" (uuid 68d0fdaa-15fa-4933-8049-86b644a4416e)) - (pin "16" (uuid 1ac2d962-22d7-45a4-b13c-82798309b869)) - (pin "17" (uuid c7d97b76-99fc-444b-a4c3-21a0340ac4bc)) - (pin "18" (uuid 631f1b6e-8bc3-4925-af0e-09790431ae81)) - (pin "19" (uuid 9fa1ceba-e922-4460-8a69-98c821c37aaf)) - (pin "2" (uuid a8fb0acb-fd5c-4983-80ba-69d856f553ab)) - (pin "20" (uuid 7d2b5589-4af9-44dd-a003-72237145e328)) - (pin "3" (uuid 59f4f61a-f28f-4ef0-a6d1-fc16deafa57e)) - (pin "4" (uuid 1432a852-aa6d-447b-aa95-d4404ae47e87)) - (pin "5" (uuid 821bfea4-149c-4b45-a7b6-c1f545c3bbba)) - (pin "6" (uuid ef9e1e33-a658-4052-9e70-9014a1911e01)) - (pin "7" (uuid b7e29022-5428-40e8-811e-8b012ddc878c)) - (pin "8" (uuid 34aeefcd-59e7-41b2-928f-12e9b0ccdb52)) - (pin "9" (uuid eadc868f-9e06-4d6a-8afe-2520b396914b)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U22") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 182.88 132.08 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 89e97274-1b80-43c6-b775-fdb2d31ab797) - (property "Reference" "#PWR061" (at 182.88 135.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 182.88 127 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 182.88 132.08 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 182.88 132.08 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 7b93809c-2e06-4aaa-be3b-82ebdab894e8)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR061") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 336.55 138.43 270) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 90264cc8-7e0c-4ca6-ae5a-c184c8335fe1) - (property "Reference" "#PWR015" (at 330.2 138.43 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 332.74 138.43 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (at 336.55 138.43 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 336.55 138.43 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 685d15eb-0f28-435b-93c0-c0fc5b76d206)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR015") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 280.67 76.2 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid 95d93cdd-f18e-4d3b-8756-1c3f8bcebbba) - (property "Reference" "#PWR037" (at 280.67 80.01 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 280.67 71.755 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 280.67 76.2 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 280.67 76.2 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 40130b25-9a5b-4571-ae0a-9ab80bc00b4d)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR037") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "GPU:MC6845") (at 73.66 114.3 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid a22e014e-cc81-42df-97b8-e3d3187fb137) - (property "Reference" "U2" (at 75.8541 76.2 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "MC6845" (at 75.8541 78.74 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-40_W15.24mm" (at 74.93 148.59 0) - (effects (font (size 1.27 1.27)) (justify left) hide) - ) - (property "Datasheet" "http://pdf.datasheetcatalog.com/datasheet_pdf/motorola/MC6845L_and_MC6845P.pdf" (at 73.66 114.3 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid dca61a03-fe23-43a1-b30f-1b4fe7de77e4)) - (pin "10" (uuid 44584872-be87-41f9-ae1e-8335fb1de23a)) - (pin "11" (uuid e3d7a382-4688-42d5-a967-8d5b61b7e02a)) - (pin "12" (uuid 02b57076-6677-4f40-afd4-1f2da1a977e5)) - (pin "13" (uuid 8b8c2fba-2d51-4709-be7f-07b1e8199805)) - (pin "14" (uuid c2734e8d-6e8b-4dba-9e39-bf6fe58155af)) - (pin "15" (uuid 3be2cc49-5339-47ea-8e11-3b99c6e5f511)) - (pin "16" (uuid 811d3084-c8ea-4bf9-a453-df79dcbf45e1)) - (pin "17" (uuid 980baa13-a415-4040-86e9-5a26748982e8)) - (pin "18" (uuid 08df3d5d-b432-43d4-96a0-eb27b98232a5)) - (pin "19" (uuid 7b9c4f4d-7628-471d-b9a4-7fd6b6100d8b)) - (pin "2" (uuid 906ac4a7-6085-4773-89bd-2539c29fb540)) - (pin "20" (uuid 1b61cc3d-0d54-4aaa-840b-2a21e36304fa)) - (pin "21" (uuid 5f4a64e5-170b-47b6-81cc-5d18ca8b05a4)) - (pin "22" (uuid c9ffa7a8-2018-4583-888f-d3eda8dd668d)) - (pin "23" (uuid dddd322b-faa8-4366-954c-0e8b197e23ea)) - (pin "24" (uuid 9faddf53-cd68-4d80-b6e7-99e59de5eb61)) - (pin "25" (uuid 928a2a26-a444-4ba7-bf07-f822c608cda0)) - (pin "26" (uuid a6ae6608-bc89-46fb-89e2-b0ba164936bf)) - (pin "27" (uuid 80cec3bf-6622-4640-89ed-5437c884951d)) - (pin "28" (uuid cfe272d0-e415-4d5a-833b-1b2349bab8c1)) - (pin "29" (uuid 9785f13a-1f9f-469a-91b6-528cb256fc58)) - (pin "3" (uuid 138a8f9b-80e5-44b7-b586-386c5a7b52d8)) - (pin "30" (uuid 501ba2bf-a635-44c3-b5ba-f3004d0402e0)) - (pin "31" (uuid c13d5cc0-3476-4433-8f5f-10d672bac0a8)) - (pin "32" (uuid d077e92d-dbea-4495-8368-a1dfcd4a7783)) - (pin "33" (uuid 8eb796ba-1210-4f75-a772-bd18400c78ef)) - (pin "34" (uuid 2c444983-21d0-48b3-80d3-f43af01c045e)) - (pin "35" (uuid da2cbbdf-b11c-4112-8526-6d424fdd409e)) - (pin "36" (uuid 0b6d60c1-b60e-43ad-a071-2798e7ae2dee)) - (pin "37" (uuid c74fcba3-3544-4370-a6f0-121556f3fcbe)) - (pin "38" (uuid cc819e45-5cb3-4bf8-803f-79634d12bf36)) - (pin "39" (uuid 69d754a1-4596-4fc5-85e0-2d5326facbf2)) - (pin "4" (uuid d14b837b-2f24-4e31-adf7-a93bfb725021)) - (pin "40" (uuid 16b70980-87d6-4612-a7a3-5877f5ccf6b0)) - (pin "5" (uuid 8383acb7-210a-468c-baf6-2d22e6463cca)) - (pin "6" (uuid f6717cf8-be48-4a1b-8d94-0179ddf11ba4)) - (pin "7" (uuid c8f64f05-4673-48db-96a3-808aae1aae7d)) - (pin "8" (uuid 0addfc63-e596-417e-91d8-b14555bd3da1)) - (pin "9" (uuid 0620ec92-8939-4573-8344-db1acde3da01)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U2") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 313.69 135.89 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid aa482d3a-bee5-4e76-b879-1b17f2a65d16) - (property "Reference" "#PWR0106" (at 313.69 139.7 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 313.69 130.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 313.69 135.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 313.69 135.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid bf29909e-7d00-4833-8b12-e90b249925bd)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR0106") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 73.66 78.74 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid ac478579-a4e6-46b8-84a6-d454fa3e09d2) - (property "Reference" "#PWR0105" (at 73.66 82.55 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 73.66 73.66 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 73.66 78.74 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 73.66 78.74 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 31c374c6-97ab-47f1-ae0e-70980264911e)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR0105") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC14") (at 66.04 259.08 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid b60952c4-bed7-4f0c-8a42-e4743748d1ac) - (property "Reference" "U6" (at 66.04 250.19 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC14" (at 66.04 252.73 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" (at 66.04 259.08 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" (at 66.04 259.08 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 04e979b1-a3fe-44d6-9c58-1181a93affd7)) - (pin "2" (uuid 78f329d8-ccc7-4987-9828-ee6d212aebfb)) - (pin "3" (uuid 29a0647c-882c-44bb-b945-30ee8b74e13f)) - (pin "4" (uuid 6e650bd4-4c60-416e-bd3b-f09ffc32d6d0)) - (pin "5" (uuid e0c001b9-9160-48b9-b4a9-46bc780eac92)) - (pin "6" (uuid 5da49bd6-225e-4056-862d-bb9fd49c6942)) - (pin "8" (uuid 92b5b0d3-a675-43d8-a206-6c2c2bbb965f)) - (pin "9" (uuid b0c5be7d-c977-4a25-a2a6-ad84e02d09b7)) - (pin "10" (uuid 33f4f413-0c8f-44d4-a803-117a690be11e)) - (pin "11" (uuid a7851074-bb73-4653-8fbb-0b8da340098e)) - (pin "12" (uuid 9e600557-4a9d-438b-84c1-4304c0e1cd32)) - (pin "13" (uuid 4b106871-0284-416d-85c5-cd9f7d32efb8)) - (pin "14" (uuid 256ca080-7d51-4bbe-a00f-412f4c630dcb)) - (pin "7" (uuid e4207d18-1232-44d7-be3b-dbead12140f5)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/8fb99111-08f0-4698-8086-cb89d138e39a" - (reference "U6") (unit 1) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U16") (unit 1) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c" - (reference "U14") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC14") (at 110.49 252.73 0) (unit 3) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid b968ec20-b85f-486b-ae6b-28decd68020a) - (property "Reference" "U16" (at 110.49 243.84 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC14" (at 110.49 246.38 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" (at 110.49 252.73 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" (at 110.49 252.73 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f6afeaf3-e5f5-4867-bb5b-3b75594bc9e5)) - (pin "2" (uuid 355d312e-0180-41b5-a507-7b13f3ba4118)) - (pin "3" (uuid ffe352fb-a328-4fb2-a7d3-d8aaa906c5b5)) - (pin "4" (uuid bedb667c-1faa-4771-8fc7-158bb4c3a376)) - (pin "5" (uuid 207d9701-d1a9-4d7c-a0bc-6889d86bae33)) - (pin "6" (uuid 76e4eca5-af1b-4adc-908f-a6c0e303f306)) - (pin "8" (uuid 9ea3c356-a7f3-40c2-ad53-d519c97e3ad8)) - (pin "9" (uuid c894925b-5bee-4162-bd99-f01a5b79d24b)) - (pin "10" (uuid 4a318f47-e666-49bb-b282-01b21e1f823f)) - (pin "11" (uuid 020256f5-9d6f-471b-9d8f-2ae60238e34c)) - (pin "12" (uuid 2eb07bd5-ea9c-4de3-89b9-99c05522b668)) - (pin "13" (uuid c597ff10-cad0-4bbc-a2cb-eecd8e0c09a3)) - (pin "14" (uuid c354151e-c50a-4470-9c03-d606e04b21ea)) - (pin "7" (uuid 5cbd3ddc-2375-43ed-9959-4595904f30f7)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/4fc87bef-9065-48cc-adac-8a035ced590c" - (reference "U16") (unit 3) - ) - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U14") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC08") (at -19.05 167.64 0) (unit 4) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid bc0380cf-8306-43af-a661-b7ce5ee38e91) - (property "Reference" "U25" (at -17.7883 158.75 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC08" (at -17.7883 161.29 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W10.16mm" (at -19.05 167.64 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc08.pdf" (at -19.05 167.64 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid e058f070-99ab-43f1-9576-a0e25d2816cc)) - (pin "2" (uuid 1d372232-8d2f-4708-b109-ceec30b83997)) - (pin "3" (uuid d6a46f6e-40e2-434a-aaf2-c9106bb66422)) - (pin "4" (uuid 60e453b7-25f2-4a04-b057-37c7b443d09b)) - (pin "5" (uuid a46772eb-fd1e-4a1b-a732-a16651deaa1a)) - (pin "6" (uuid dde505c3-e601-406c-9403-2c060f685955)) - (pin "10" (uuid 73b41243-18eb-41fa-9cf7-70d2d5590738)) - (pin "8" (uuid 10790812-787c-4d3d-9961-02c661f15487)) - (pin "9" (uuid 3b2b68c2-676b-4976-90a8-dab911b90964)) - (pin "11" (uuid 2679f160-3263-4d9c-841b-6bb9981ed23d)) - (pin "12" (uuid 3c3f4374-8bbb-4052-8ec5-43ec7c00e78c)) - (pin "13" (uuid 5e6f902c-bbdf-4af3-96c1-3db6fa5152be)) - (pin "14" (uuid d4354063-0cbb-4943-bb87-021ae54f919f)) - (pin "7" (uuid 460be1b3-0013-4cc1-81cb-4a8abfbc5ea1)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U25") (unit 4) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC32") (at 180.34 255.27 0) (unit 3) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid bdbe74c0-8691-413c-b286-6a9161afad81) - (property "Reference" "U24" (at 180.34 246.38 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC32" (at 180.34 248.92 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W7.62mm" (at 180.34 255.27 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc32.pdf" (at 180.34 255.27 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid aa0b9435-41db-46f5-8ced-19802fd23161)) - (pin "2" (uuid 0d542ea5-10b9-40e0-b250-5bf4582c0f9c)) - (pin "3" (uuid e333938b-f2d2-402a-9338-8a04d3158f96)) - (pin "4" (uuid a2dba30a-c75c-4146-abc2-e0e729d5023d)) - (pin "5" (uuid 99400d00-8919-4a96-a7ba-1070d507087d)) - (pin "6" (uuid 030dae26-eab6-401e-a398-5eea66c27f8d)) - (pin "10" (uuid 65b1e766-cef0-4db5-ad52-fb90e57ef69d)) - (pin "8" (uuid c0aaddb9-d8d4-467a-9185-7db79785c846)) - (pin "9" (uuid b6a5e61a-572e-44d3-bdac-62ebcd7dfdc8)) - (pin "11" (uuid 442c669a-638a-473b-886f-6964fdbb30d7)) - (pin "12" (uuid f7d8549a-3c0e-4e71-9dc9-4ff7857b8a4c)) - (pin "13" (uuid 58b9b87c-b153-49d4-812a-6e28b2bed13b)) - (pin "14" (uuid 693c2657-eb36-4a67-9bdb-325a59f5babd)) - (pin "7" (uuid a3afb1be-a548-4daf-9ad4-be3fea5028ee)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U24") (unit 3) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 115.57 106.68 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid be70130b-cc9a-460f-ac57-be047c585c29) - (property "Reference" "#PWR016" (at 119.38 106.68 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 111.76 106.68 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 115.57 106.68 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 115.57 106.68 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b69a5e63-846b-4f2b-8690-427645c394d8)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR016") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 170.18 162.56 90) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid bf0901ed-0872-42c9-8d86-2ce98cf16237) - (property "Reference" "#PWR045" (at 173.99 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 166.37 162.56 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (at 170.18 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 170.18 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 8b361745-8534-4c53-99e2-b589f302e315)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR045") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 236.22 275.59 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid cadd5f69-4e5c-47d5-96f0-00b4ee838324) - (property "Reference" "#PWR071" (at 236.22 281.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 236.22 280.67 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 236.22 275.59 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 236.22 275.59 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 980562c6-464d-4c0e-902f-63008508c144)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR071") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at -21.59 218.44 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid ccc3ba14-fa19-4c78-be98-f7aef2238ff4) - (property "Reference" "#PWR057" (at -21.59 224.79 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at -21.59 222.885 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at -21.59 218.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at -21.59 218.44 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 193986e1-42e0-4e1d-b253-a1b03b388142)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR057") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC32") (at 236.22 262.89 0) (unit 5) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid d4bfb194-6663-4efa-ab61-02d300712a0d) - (property "Reference" "U24" (at 243.205 261.62 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "74HC32" (at 243.205 264.16 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-14_W7.62mm" (at 236.22 262.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc32.pdf" (at 236.22 262.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 7da34a82-77f6-4b17-83bd-91b5128bedee)) - (pin "2" (uuid 66a47dc6-7786-41d8-b99e-06859481a083)) - (pin "3" (uuid e4905f46-5b7c-4ccc-9a9a-c3a26dedd16a)) - (pin "4" (uuid ff5fc3a9-9afc-44e3-8e93-b30b7e041a56)) - (pin "5" (uuid 9f0b9a62-2b53-474d-b157-6766b938e462)) - (pin "6" (uuid 55bf517c-17a5-40e2-a85a-6a741b8d6235)) - (pin "10" (uuid 1636f901-9426-47f7-89ce-500578df0054)) - (pin "8" (uuid 786aad44-0da9-4279-aa2b-14a6dd6f6715)) - (pin "9" (uuid 8812b99f-ee9c-42db-9356-f1428c901332)) - (pin "11" (uuid 49bc59a9-c6c4-4f2d-bd92-d7623adb362f)) - (pin "12" (uuid 925ae97a-1852-468d-bcc5-d7dc76e9b340)) - (pin "13" (uuid 6bc6f7bd-9ef0-490b-95fe-23eca046ab58)) - (pin "14" (uuid e3e41c41-ff76-4069-9cb9-00235dc26e0b)) - (pin "7" (uuid 01433f9e-824f-4953-ba02-522821058c9b)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U24") (unit 5) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at -21.59 193.04 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid da6f721f-f98d-469f-85fa-dddc3599f6b6) - (property "Reference" "#PWR056" (at -21.59 196.85 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at -21.59 188.595 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at -21.59 193.04 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at -21.59 193.04 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 0c09d5ef-1b1d-41e8-ae61-37df1288a077)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR056") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC245") (at 182.88 96.52 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid e1f19541-aeb2-4bdd-a213-d70de359b80e) - (property "Reference" "U21" (at 185.0741 76.2 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "74HC245" (at 185.0741 78.74 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-20_W7.62mm_Socket" (at 182.88 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" (at 182.88 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 57a3d42c-b4a6-460f-b3f4-8c0d099a6466)) - (pin "10" (uuid c64c1133-3d7e-46aa-b5e7-fbf83942b619)) - (pin "11" (uuid 7dd1da8a-e4a5-4282-ae98-e41775569796)) - (pin "12" (uuid 80533bd9-d8a9-45fa-baba-356170de0777)) - (pin "13" (uuid fb43d5f0-e35a-4c43-a142-09a7e71f9892)) - (pin "14" (uuid 519f958e-f5b0-452e-b7bf-c3d0baa0ef58)) - (pin "15" (uuid 728c2419-e529-409f-9764-908ea23c4922)) - (pin "16" (uuid 5292649a-4f4d-4b64-9592-9ca63ae77e08)) - (pin "17" (uuid a91a9be5-6e21-4fec-b605-cbb3bc467869)) - (pin "18" (uuid 8024216d-150f-465d-b97e-45bb0613982d)) - (pin "19" (uuid f52b9949-9849-4564-bbd1-dd7f21a2d2ae)) - (pin "2" (uuid 7ac8b566-938e-43f2-90f0-301479b04bee)) - (pin "20" (uuid 9809b7b5-628c-475b-afea-28d435210aaf)) - (pin "3" (uuid 4447e9a4-cdf7-4e0f-bb33-03bee9c03706)) - (pin "4" (uuid a44571f3-79c1-4763-a205-60e6db5f9367)) - (pin "5" (uuid 356c4efc-c537-4fc1-898f-fec712dbae1c)) - (pin "6" (uuid 72c2b335-a422-4584-b1b6-31764aff470a)) - (pin "7" (uuid 6eec66da-7581-455c-a242-52ece3634f25)) - (pin "8" (uuid b0ed7ebb-8d7b-42f3-9374-2b47a528c7d1)) - (pin "9" (uuid 46e50357-42ec-4651-aa17-26c9e4f1dd4f)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U21") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC245") (at 128.27 96.52 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid eb3ad1a8-1737-48db-a62b-438fac70099e) - (property "Reference" "U17" (at 130.4641 76.2 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "74HC245" (at 130.4641 78.74 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-20_W7.62mm_Socket" (at 128.27 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" (at 128.27 96.52 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 822ce87a-a490-4cf3-aa5a-0aa175020cd8)) - (pin "10" (uuid de96bef2-84af-47ba-8d0f-56dfa70a71a8)) - (pin "11" (uuid fb7ef02d-5d54-4933-957e-c7b9220705e1)) - (pin "12" (uuid e94bc05e-0ee2-41fc-8a51-c8bed9fa376d)) - (pin "13" (uuid ae07a78f-9192-4625-aa33-620577ed31a7)) - (pin "14" (uuid 627a2185-94de-4d64-a30a-c01be2fbc013)) - (pin "15" (uuid e52d76ee-b0f7-499c-bdce-649b93489973)) - (pin "16" (uuid 98315ec8-b056-4d12-b4b8-94d8ec69b8fc)) - (pin "17" (uuid b4a2e7e8-f00d-4a5a-ad36-5fd5c0484c07)) - (pin "18" (uuid a1067f89-e2bb-4fee-92a0-0b0104f2bff1)) - (pin "19" (uuid 7bf709c1-4f03-41e9-aad7-63c741dbf1a0)) - (pin "2" (uuid 6a00afc0-764d-4fc7-8bee-c2052048283a)) - (pin "20" (uuid ef77e3b2-1cb8-47c1-848e-294d7558d8d9)) - (pin "3" (uuid 6e434901-d144-42fe-b060-b5329142ed29)) - (pin "4" (uuid c9c15d2e-6c54-4870-8b4d-7881fbedf750)) - (pin "5" (uuid acc5ab23-b4ac-4380-ad5b-e7904d4816b1)) - (pin "6" (uuid 4997f9c5-2139-4fa3-bf93-c3e4cbe0793d)) - (pin "7" (uuid aa190dcb-f6a9-45e2-84b6-2b05f5fd564e)) - (pin "8" (uuid 676b5ca7-d8ee-47ce-94f0-a88d1d606feb)) - (pin "9" (uuid 138d7272-3169-4a24-8779-d9b613b5b10c)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U17") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 336.55 163.83 270) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid eef57f75-8dcf-47d9-8573-b30b892cba49) - (property "Reference" "#PWR059" (at 330.2 163.83 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 333.375 163.83 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (at 336.55 163.83 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 336.55 163.83 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 14793d89-ddc5-4f96-b9d9-a0c558ec9410)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR059") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "74xx:74HC32") (at 378.46 140.97 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid f37bab1d-ba47-4b66-bd27-d2cc9deb4c5b) - (property "Reference" "U24" (at 378.46 149.225 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "74HC32" (at 378.46 146.685 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_DIP:DIP-14_W7.62mm" (at 378.46 140.97 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc32.pdf" (at 378.46 140.97 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 7b8ed574-3cea-4ba0-bff5-8b0db118c88c)) - (pin "2" (uuid 79f223e8-0de9-46c4-9517-2efd544e9a0c)) - (pin "3" (uuid 283a254c-d833-45da-b49e-afbdff9b94ad)) - (pin "4" (uuid 74197b5f-26a1-4c41-90bb-95ef4735294e)) - (pin "5" (uuid 09545120-e3f5-41c6-8e55-d25204a6e8ec)) - (pin "6" (uuid 94e5bfbc-cc61-45dc-b2c0-3c173ab315f9)) - (pin "10" (uuid 23afb7af-70fe-4660-8a93-312fb3b164f2)) - (pin "8" (uuid cb5eda77-0ede-4f88-86b0-70083008e8c0)) - (pin "9" (uuid 557a68da-1176-4d5a-8903-b542c6777416)) - (pin "11" (uuid a98f10ca-4997-48f7-9f0e-5484f835712a)) - (pin "12" (uuid 663775e6-a5b1-445c-a9f9-d30f6e12f1ea)) - (pin "13" (uuid 9cd2c94a-261c-4d6d-adc0-a51547fd173c)) - (pin "14" (uuid 168d416f-2396-4489-a251-759c879862a7)) - (pin "7" (uuid 6f064695-2b7c-445c-9e78-132c52990691)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U24") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:+5V") (at 232.41 78.74 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid f37e6988-47b3-402b-8be8-e91320629ea9) - (property "Reference" "#PWR010" (at 232.41 82.55 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (at 232.41 73.66 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 232.41 78.74 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 232.41 78.74 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid da0deefb-15cc-4a7f-94f0-7cc7b38c621d)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR010") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "power:GND") (at 58.42 127 270) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid fdc652f1-138a-41a4-aad8-4a55e3ca57b1) - (property "Reference" "#PWR040" (at 52.07 127 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 54.61 127 90) - (effects (font (size 1.27 1.27)) (justify right)) - ) - (property "Footprint" "" (at 58.42 127 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 58.42 127 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 71cf5d8c-d4c9-467c-964d-37143732e383)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "#PWR040") (unit 1) - ) - ) - ) - ) - - (symbol (lib_id "Memory_EEPROM:28C256") (at 313.69 163.83 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) - (uuid ff53e05d-5a38-45fd-8fb6-51a3bc02a9f3) - (property "Reference" "U3" (at 315.8841 133.35 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "28C256" (at 315.8841 135.89 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_DIP:DIP-28_W15.24mm_Socket" (at 313.69 163.83 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" (at 313.69 163.83 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b2cae2fb-e63b-4862-a31a-e6f7ac7a2c6e)) - (pin "10" (uuid 6a34e46e-1c48-4e1f-a92a-931f566b8603)) - (pin "11" (uuid a4478e93-c9d5-4cb3-9fbc-8701265851b4)) - (pin "12" (uuid 6c859b6e-e351-49bb-82d5-1d9c75de10ec)) - (pin "13" (uuid 5cb384ff-1e8c-4610-b7e8-2ce07ae92cfd)) - (pin "14" (uuid 35971132-0f20-44b7-9c43-3df9563586f6)) - (pin "15" (uuid a83f84b5-fa17-4ee3-9cc5-584b975b589f)) - (pin "16" (uuid 7e24e6fe-2344-45e1-af36-e9d02a947dee)) - (pin "17" (uuid 18949af6-3a40-4019-b1e0-aadd481664ff)) - (pin "18" (uuid 3bb09a54-dd39-4e88-9a47-41d8e8bd7949)) - (pin "19" (uuid 7359ab5d-e549-4c00-803e-e7be5dbd755f)) - (pin "2" (uuid 3a735fa1-cf77-4be1-b350-c3037ed8a415)) - (pin "20" (uuid bfbe6219-b6d6-476a-ac89-2995e46cdf25)) - (pin "21" (uuid 5cf18894-7ced-4611-a5f4-6653a4bad108)) - (pin "22" (uuid dacc1847-8dc1-4883-af08-37876482ace1)) - (pin "23" (uuid a645d5a3-39b1-464e-a8fe-3c2b5f29d19c)) - (pin "24" (uuid 67c78ea9-d4c0-4ebb-9b6b-3eca9686397b)) - (pin "25" (uuid 39a92a2c-7c3a-46ef-a1ce-a61972b23d87)) - (pin "26" (uuid f29eddd8-586f-4129-ae85-77936ac99664)) - (pin "27" (uuid d26a61a9-0c13-4131-bfa5-0ed6cf7c7bc5)) - (pin "28" (uuid a9f173aa-2408-4e52-9dbc-54b494aac12b)) - (pin "3" (uuid 81a7debf-291c-4561-b8e5-733ce7be1745)) - (pin "4" (uuid 3a542f6a-ab7d-4e39-8398-b362c3aa781a)) - (pin "5" (uuid 6b621333-5196-4aad-ad5f-cf4595726f2a)) - (pin "6" (uuid 57587e8b-67cf-4e1f-8972-8f73a7c2d78f)) - (pin "7" (uuid fb4a3a42-2714-427e-8f47-1db39a022411)) - (pin "8" (uuid 4f3d88d5-7c7a-4fdd-864e-86496baf2d77)) - (pin "9" (uuid 3d91328c-6b25-47d1-ab3d-288a3cb95ca5)) - (instances - (project "george" - (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" - (reference "U3") (unit 1) - ) - ) - ) - ) -) +(kicad_sch + (version 20231120) + (generator "eeschema") + (generator_version "8.0") + (uuid "a4be59a9-1504-4ac5-9a66-eb64ab9a25a8") + (paper "A3") + (title_block + (title "ʕ·ᴥ·ʔ-video") + ) + (lib_symbols + (symbol "74xx:74HC00" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at 0 1.27 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC00" + (at 0 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hc00" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "quad 2-input NAND gate" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "HCMOS nand 2-input" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W7.62mm* SO14*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "74HC00_1_1" + (arc + (start 0 -3.81) + (mid 3.7934 0) + (end 0 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC00_1_2" + (arc + (start -3.81 -3.81) + (mid -2.589 0) + (end -3.81 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -0.6096 -3.81) + (mid 2.1842 -2.5851) + (end 3.81 0) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 -3.81) (xy -0.635 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 3.81) (xy -0.635 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414) + (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81) + (xy -0.635 -3.81) + ) + (stroke + (width -25.4) + (type default) + ) + (fill + (type background) + ) + ) + (arc + (start 3.81 0) + (mid 2.1915 2.5936) + (end -0.6096 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input inverted + (at -7.62 2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input inverted + (at -7.62 -2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC00_2_1" + (arc + (start 0 -3.81) + (mid 3.7934 0) + (end 0 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC00_2_2" + (arc + (start -3.81 -3.81) + (mid -2.589 0) + (end -3.81 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -0.6096 -3.81) + (mid 2.1842 -2.5851) + (end 3.81 0) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 -3.81) (xy -0.635 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 3.81) (xy -0.635 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414) + (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81) + (xy -0.635 -3.81) + ) + (stroke + (width -25.4) + (type default) + ) + (fill + (type background) + ) + ) + (arc + (start 3.81 0) + (mid 2.1915 2.5936) + (end -0.6096 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input inverted + (at -7.62 2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input inverted + (at -7.62 -2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC00_3_1" + (arc + (start 0 -3.81) + (mid 3.7934 0) + (end 0 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC00_3_2" + (arc + (start -3.81 -3.81) + (mid -2.589 0) + (end -3.81 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -0.6096 -3.81) + (mid 2.1842 -2.5851) + (end 3.81 0) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 -3.81) (xy -0.635 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 3.81) (xy -0.635 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414) + (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81) + (xy -0.635 -3.81) + ) + (stroke + (width -25.4) + (type default) + ) + (fill + (type background) + ) + ) + (arc + (start 3.81 0) + (mid 2.1915 2.5936) + (end -0.6096 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input inverted + (at -7.62 -2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input inverted + (at -7.62 2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC00_4_1" + (arc + (start 0 -3.81) + (mid 3.7934 0) + (end 0 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC00_4_2" + (arc + (start -3.81 -3.81) + (mid -2.589 0) + (end -3.81 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -0.6096 -3.81) + (mid 2.1842 -2.5851) + (end 3.81 0) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 -3.81) (xy -0.635 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 3.81) (xy -0.635 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414) + (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81) + (xy -0.635 -3.81) + ) + (stroke + (width -25.4) + (type default) + ) + (fill + (type background) + ) + ) + (arc + (start 3.81 0) + (mid 2.1915 2.5936) + (end -0.6096 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output line + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input inverted + (at -7.62 2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input inverted + (at -7.62 -2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC00_5_0" + (pin power_in line + (at 0 12.7 270) + (length 5.08) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -12.7 90) + (length 5.08) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC00_5_1" + (rectangle + (start -5.08 7.62) + (end 5.08 -7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + ) + (symbol "74xx:74HC14" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at 0 1.27 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC14" + (at 0 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Hex inverter schmitt trigger" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "HCMOS not inverter" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W7.62mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "74HC14_1_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_1_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_2_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_2_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_3_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_3_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_4_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_4_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_5_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_5_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_6_0" + (polyline + (pts + (xy -3.81 3.81) (xy -3.81 -3.81) (xy 3.81 0) (xy -3.81 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 0 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_6_1" + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) (xy -0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "74HC14_7_0" + (pin power_in line + (at 0 12.7 270) + (length 5.08) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -12.7 90) + (length 5.08) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC14_7_1" + (rectangle + (start -5.08 7.62) + (end 5.08 -7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + ) + (symbol "74xx:74HC165" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -7.62 19.05 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC165" + (at -7.62 -21.59 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/74HC_HCT165.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Shift Register, 8-bit, Parallel Load" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "8 bit shift register parallel load cmos" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP?16* SO*16*3.9x9.9mm*P1.27mm* SSOP*16*5.3x6.2mm*P0.65mm* TSSOP*16*4.4x5mm*P0.65*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "74HC165_1_0" + (pin input line + (at -12.7 -10.16 0) + (length 5.08) + (name "~{PL}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 15.24 0) + (length 5.08) + (name "DS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 12.7 0) + (length 5.08) + (name "D0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 10.16 0) + (length 5.08) + (name "D1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 7.62 0) + (length 5.08) + (name "D2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 5.08 0) + (length 5.08) + (name "D3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -17.78 0) + (length 5.08) + (name "~{CE}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 22.86 270) + (length 5.08) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -15.24 0) + (length 5.08) + (name "CP" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 2.54 0) + (length 5.08) + (name "D4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 0 0) + (length 5.08) + (name "D5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -2.54 0) + (length 5.08) + (name "D6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -5.08 0) + (length 5.08) + (name "D7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 12.7 12.7 180) + (length 5.08) + (name "~{Q7}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -25.4 90) + (length 5.08) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 12.7 15.24 180) + (length 5.08) + (name "Q7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC165_1_1" + (rectangle + (start -7.62 17.78) + (end 7.62 -20.32) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + ) + (symbol "74xx:74HC245" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -7.62 16.51 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC245" + (at -7.62 -16.51 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Octal BUS Transceivers, 3-State outputs" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "HCMOS BUS 3State" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP?20*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "74HC245_1_0" + (polyline + (pts + (xy -0.635 -1.27) (xy -0.635 1.27) (xy 0.635 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.27 -1.27) (xy 0.635 -1.27) (xy 0.635 1.27) (xy 1.27 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (pin input line + (at -12.7 -10.16 0) + (length 5.08) + (name "A->B" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -20.32 90) + (length 5.08) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 -5.08 180) + (length 5.08) + (name "B7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 -2.54 180) + (length 5.08) + (name "B6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 0 180) + (length 5.08) + (name "B5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 2.54 180) + (length 5.08) + (name "B4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 5.08 180) + (length 5.08) + (name "B3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 7.62 180) + (length 5.08) + (name "B2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 10.16 180) + (length 5.08) + (name "B1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 12.7 180) + (length 5.08) + (name "B0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input inverted + (at -12.7 -12.7 0) + (length 5.08) + (name "CE" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 12.7 0) + (length 5.08) + (name "A0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 20.32 270) + (length 5.08) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 10.16 0) + (length 5.08) + (name "A1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 7.62 0) + (length 5.08) + (name "A2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 5.08 0) + (length 5.08) + (name "A3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 2.54 0) + (length 5.08) + (name "A4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 0 0) + (length 5.08) + (name "A5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 -2.54 0) + (length 5.08) + (name "A6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at -12.7 -5.08 0) + (length 5.08) + (name "A7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC245_1_1" + (rectangle + (start -7.62 15.24) + (end 7.62 -15.24) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + ) + (symbol "74xx:74HC32" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at 0 1.27 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC32" + (at 0 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc32.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Quad 2-input OR" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "TTL Or2" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP?14*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "74HC32_1_1" + (arc + (start -3.81 -3.81) + (mid -2.589 0) + (end -3.81 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -0.6096 -3.81) + (mid 2.1842 -2.5851) + (end 3.81 0) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 -3.81) (xy -0.635 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 3.81) (xy -0.635 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414) + (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81) + (xy -0.635 -3.81) + ) + (stroke + (width -25.4) + (type default) + ) + (fill + (type background) + ) + ) + (arc + (start 3.81 0) + (mid 2.1915 2.5936) + (end -0.6096 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC32_1_2" + (arc + (start 0 -3.81) + (mid 3.7934 0) + (end 0 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input inverted + (at -7.62 2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input inverted + (at -7.62 -2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC32_2_1" + (arc + (start -3.81 -3.81) + (mid -2.589 0) + (end -3.81 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -0.6096 -3.81) + (mid 2.1842 -2.5851) + (end 3.81 0) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 -3.81) (xy -0.635 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 3.81) (xy -0.635 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414) + (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81) + (xy -0.635 -3.81) + ) + (stroke + (width -25.4) + (type default) + ) + (fill + (type background) + ) + ) + (arc + (start 3.81 0) + (mid 2.1915 2.5936) + (end -0.6096 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC32_2_2" + (arc + (start 0 -3.81) + (mid 3.7934 0) + (end 0 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input inverted + (at -7.62 2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input inverted + (at -7.62 -2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC32_3_1" + (arc + (start -3.81 -3.81) + (mid -2.589 0) + (end -3.81 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -0.6096 -3.81) + (mid 2.1842 -2.5851) + (end 3.81 0) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 -3.81) (xy -0.635 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 3.81) (xy -0.635 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414) + (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81) + (xy -0.635 -3.81) + ) + (stroke + (width -25.4) + (type default) + ) + (fill + (type background) + ) + ) + (arc + (start 3.81 0) + (mid 2.1915 2.5936) + (end -0.6096 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC32_3_2" + (arc + (start 0 -3.81) + (mid 3.7934 0) + (end 0 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input inverted + (at -7.62 -2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input inverted + (at -7.62 2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC32_4_1" + (arc + (start -3.81 -3.81) + (mid -2.589 0) + (end -3.81 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -0.6096 -3.81) + (mid 2.1842 -2.5851) + (end 3.81 0) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 -3.81) (xy -0.635 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -3.81 3.81) (xy -0.635 3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414) + (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81) + (xy -0.635 -3.81) + ) + (stroke + (width -25.4) + (type default) + ) + (fill + (type background) + ) + ) + (arc + (start 3.81 0) + (mid 2.1915 2.5936) + (end -0.6096 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output line + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -7.62 -2.54 0) + (length 4.318) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC32_4_2" + (arc + (start 0 -3.81) + (mid 3.7934 0) + (end 0 3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (polyline + (pts + (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin output inverted + (at 7.62 0 180) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input inverted + (at -7.62 2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input inverted + (at -7.62 -2.54 0) + (length 3.81) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC32_5_0" + (pin power_in line + (at 0 12.7 270) + (length 5.08) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -12.7 90) + (length 5.08) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC32_5_1" + (rectangle + (start -5.08 7.62) + (end 5.08 -7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + ) + (symbol "74xx:74HC373" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -7.62 16.51 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC373" + (at -7.62 -16.51 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/cd54hc373.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "8-bit Latch, 3-state outputs" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "HCMOS REG DFF DFF8 LATCH" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP?20* SOIC?20* SO?20* SSOP?20* TSSOP?20*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "74HC373_1_0" + (pin input inverted + (at -12.7 -12.7 0) + (length 5.08) + (name "OE" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -20.32 90) + (length 5.08) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -10.16 0) + (length 5.08) + (name "LE" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 2.54 180) + (length 5.08) + (name "O4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 2.54 0) + (length 5.08) + (name "D4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 0 0) + (length 5.08) + (name "D5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 0 180) + (length 5.08) + (name "O5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 -2.54 180) + (length 5.08) + (name "O6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -2.54 0) + (length 5.08) + (name "D6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -5.08 0) + (length 5.08) + (name "D7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 -5.08 180) + (length 5.08) + (name "O7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 12.7 180) + (length 5.08) + (name "O0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 20.32 270) + (length 5.08) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 12.7 0) + (length 5.08) + (name "D0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 10.16 0) + (length 5.08) + (name "D1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 10.16 180) + (length 5.08) + (name "O1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 7.62 180) + (length 5.08) + (name "O2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 7.62 0) + (length 5.08) + (name "D2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 5.08 0) + (length 5.08) + (name "D3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 5.08 180) + (length 5.08) + (name "O3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "74HC373_1_1" + (rectangle + (start -7.62 15.24) + (end 7.62 -15.24) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + ) + (symbol "Device:C" + (pin_numbers hide) + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "C" + (at 0.635 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "C" + (at 0.635 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0.9652 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "cap capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "C_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) (xy 2.032 -0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.032 0.762) (xy 2.032 0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "C_1_1" + (pin passive line + (at 0 3.81 270) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "GPU:MC6845" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -10.16 34.29 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "MC6845" + (at 12.7 34.29 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Package_DIP:DIP-40_W15.24mm" + (at 1.27 -34.29 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + (property "Datasheet" "http://pdf.datasheetcatalog.com/datasheet_pdf/motorola/MC6845L_and_MC6845P.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "CRT Controller 1MHz, DIP-40" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "CRT controller" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W15.24mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "MC6845_0_1" + (rectangle + (start -12.7 -33.02) + (end 12.7 33.02) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "MC6845_1_1" + (pin power_in line + (at 0 -35.56 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 15.24 180) + (length 2.54) + (name "MA6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 12.7 180) + (length 2.54) + (name "MA7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 10.16 180) + (length 2.54) + (name "MA8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 7.62 180) + (length 2.54) + (name "MA9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 5.08 180) + (length 2.54) + (name "MA10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 2.54 180) + (length 2.54) + (name "MA11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 0 180) + (length 2.54) + (name "MA12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -2.54 180) + (length 2.54) + (name "MA13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -22.86 180) + (length 2.54) + (name "DE" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -30.48 180) + (length 2.54) + (name "CURSOR" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -15.24 -2.54 0) + (length 2.54) + (name "~{RESET}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 35.56 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -15.24 -7.62 0) + (length 2.54) + (name "CLK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -15.24 7.62 0) + (length 2.54) + (name "R/~{W}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -15.24 0 0) + (length 2.54) + (name "E" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -15.24 2.54 0) + (length 2.54) + (name "RS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -15.24 5.08 0) + (length 2.54) + (name "~{CS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 12.7 0) + (length 2.54) + (name "D7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "26" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 15.24 0) + (length 2.54) + (name "D6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 17.78 0) + (length 2.54) + (name "D5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 20.32 0) + (length 2.54) + (name "D4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "29" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -15.24 -12.7 0) + (length 2.54) + (name "LPSTB" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 22.86 0) + (length 2.54) + (name "D3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "30" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 25.4 0) + (length 2.54) + (name "D2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "31" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 27.94 0) + (length 2.54) + (name "D1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "32" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -15.24 30.48 0) + (length 2.54) + (name "D0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "33" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -17.78 180) + (length 2.54) + (name "RA4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "34" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -15.24 180) + (length 2.54) + (name "RA3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "35" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -12.7 180) + (length 2.54) + (name "RA2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "36" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -10.16 180) + (length 2.54) + (name "RA1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "37" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -7.62 180) + (length 2.54) + (name "RA0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "38" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -25.4 180) + (length 2.54) + (name "HS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "39" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 30.48 180) + (length 2.54) + (name "MA0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 -27.94 180) + (length 2.54) + (name "VS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "40" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 27.94 180) + (length 2.54) + (name "MA1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 25.4 180) + (length 2.54) + (name "MA2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 22.86 180) + (length 2.54) + (name "MA3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 20.32 180) + (length 2.54) + (name "MA4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 15.24 17.78 180) + (length 2.54) + (name "MA5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Memory_EEPROM:28C256" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U3" + (at 2.1941 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "28C256" + (at 2.1941 27.94 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_LCC:PLCC-32_THT-Socket" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Paged Parallel EEPROM 256Kb (32K x 8), DIP-28/SOIC-28" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "Parallel EEPROM 256Kb" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W15.24mm* SOIC*7.5x17.9mm*P1.27mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "28C256_1_1" + (rectangle + (start -7.62 25.4) + (end 7.62 -25.4) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin input line + (at -10.16 20.32 0) + (length 2.54) + (name "A1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 22.86 0) + (length 2.54) + (name "A0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 22.86 180) + (length 2.54) + (name "D0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 20.32 180) + (length 2.54) + (name "D1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 17.78 180) + (length 2.54) + (name "D2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -27.94 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 15.24 180) + (length 2.54) + (name "D3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 12.7 180) + (length 2.54) + (name "D4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -12.7 0) + (length 2.54) + (name "A14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 10.16 180) + (length 2.54) + (name "D5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 7.62 180) + (length 2.54) + (name "D6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 10.16 5.08 180) + (length 2.54) + (name "D7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -22.86 0) + (length 2.54) + (name "~{CS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -2.54 0) + (length 2.54) + (name "A10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -20.32 0) + (length 2.54) + (name "~{OE}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -5.08 0) + (length 2.54) + (name "A11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 0 0) + (length 2.54) + (name "A9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 2.54 0) + (length 2.54) + (name "A8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "29" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -7.62 0) + (length 2.54) + (name "A12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -10.16 0) + (length 2.54) + (name "A13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "30" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -17.78 0) + (length 2.54) + (name "~{WE}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "31" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 27.94 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "32" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 5.08 0) + (length 2.54) + (name "A7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 7.62 0) + (length 2.54) + (name "A6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 10.16 0) + (length 2.54) + (name "A5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 12.7 0) + (length 2.54) + (name "A4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 15.24 0) + (length 2.54) + (name "A3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 17.78 0) + (length 2.54) + (name "A2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Memory_RAM:CY62256-70PC" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -10.16 20.955 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + ) + (property "Value" "CY62256-70PC" + (at 2.54 20.955 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + ) + (property "Footprint" "Package_DIP:DIP-28_W15.24mm" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://ecee.colorado.edu/~mcclurel/Cypress_SRAM_CY62256.pdf" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "256K (32K x 8) Static RAM, 70ns, DIP-28" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "RAM SRAM CMOS MEMORY" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W15.24mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "CY62256-70PC_0_0" + (pin power_in line + (at 0 -22.86 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 22.86 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "CY62256-70PC_0_1" + (rectangle + (start -10.16 20.32) + (end 10.16 -20.32) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "CY62256-70PC_1_1" + (pin input line + (at -12.7 -17.78 0) + (length 2.54) + (name "A14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 17.78 0) + (length 2.54) + (name "A0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 17.78 180) + (length 2.54) + (name "Q0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 15.24 180) + (length 2.54) + (name "Q1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 12.7 180) + (length 2.54) + (name "Q2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 10.16 180) + (length 2.54) + (name "Q3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 7.62 180) + (length 2.54) + (name "Q4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 5.08 180) + (length 2.54) + (name "Q5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 2.54 180) + (length 2.54) + (name "Q6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin tri_state line + (at 12.7 0 180) + (length 2.54) + (name "Q7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -12.7 0) + (length 2.54) + (name "A12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 12.7 -5.08 180) + (length 2.54) + (name "~{CS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -7.62 0) + (length 2.54) + (name "A10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 12.7 -10.16 180) + (length 2.54) + (name "~{OE}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -10.16 0) + (length 2.54) + (name "A11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -5.08 0) + (length 2.54) + (name "A9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -2.54 0) + (length 2.54) + (name "A8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 -15.24 0) + (length 2.54) + (name "A13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "26" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 12.7 -12.7 180) + (length 2.54) + (name "~{WE}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 0 0) + (length 2.54) + (name "A7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 2.54 0) + (length 2.54) + (name "A6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 5.08 0) + (length 2.54) + (name "A5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 7.62 0) + (length 2.54) + (name "A4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 10.16 0) + (length 2.54) + (name "A3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 12.7 0) + (length 2.54) + (name "A2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -12.7 15.24 0) + (length 2.54) + (name "A1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "kitty:R" + (pin_numbers hide) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "R" + (at 2.032 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "R" + (at 0 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at -1.778 0 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "R res resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "R_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "R_0_1" + (rectangle + (start -1.016 -2.54) + (end 1.016 2.54) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "R_1_1" + (pin passive line + (at 0 3.81 270) + (length 1.27) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 1.27) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:+5V" + (power) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+5V\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+5V_1_1" + (pin power_in line + (at 0 0 90) + (length 0) hide + (name "+5V" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:GND" + (power) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_1_1" + (pin power_in line + (at 0 0 270) + (length 0) hide + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + ) + (junction + (at 300.99 226.06) + (diameter 0) + (color 0 0 0 0) + (uuid "0145247a-ef6d-4e05-9eab-25d1c86ae441") + ) + (junction + (at 267.97 226.06) + (diameter 0) + (color 0 0 0 0) + (uuid "0323fee8-42c4-4021-9ecd-582c613b6b61") + ) + (junction + (at 284.48 226.06) + (diameter 0) + (color 0 0 0 0) + (uuid "17e73efd-771d-45bc-a5d3-0698ef326c77") + ) + (junction + (at 252.73 233.68) + (diameter 0) + (color 0 0 0 0) + (uuid "184446d7-eae0-4d5a-99f6-b80980e46240") + ) + (junction + (at 252.73 226.06) + (diameter 0) + (color 0 0 0 0) + (uuid "2c14b855-d773-4b90-b619-a55c6ab940c1") + ) + (junction + (at 331.47 226.06) + (diameter 0) + (color 0 0 0 0) + (uuid "39d69912-c1b3-46f8-850b-35f88f16e8bd") + ) + (junction + (at 316.23 233.68) + (diameter 0) + (color 0 0 0 0) + (uuid "5040d899-5c68-4c81-a135-41f66aa2e228") + ) + (junction + (at 347.98 226.06) + (diameter 0) + (color 0 0 0 0) + (uuid "5b8b7fdf-fe65-4805-9ef2-5973d754bd54") + ) + (junction + (at 300.99 233.68) + (diameter 0) + (color 0 0 0 0) + (uuid "7fa543f2-4b22-47d7-a86c-124e0d664bf1") + ) + (junction + (at 347.98 233.68) + (diameter 0) + (color 0 0 0 0) + (uuid "98e56d5c-ded0-4934-89a6-4a00542a77c4") + ) + (junction + (at 331.47 233.68) + (diameter 0) + (color 0 0 0 0) + (uuid "9b9bc3ec-3092-4f60-90da-fb2da0961d13") + ) + (junction + (at 267.97 233.68) + (diameter 0) + (color 0 0 0 0) + (uuid "a50094a3-ed4e-4db6-a07a-5427657c61ac") + ) + (junction + (at 316.23 226.06) + (diameter 0) + (color 0 0 0 0) + (uuid "a6e00574-4aee-4f30-a80c-a98bd98a722d") + ) + (junction + (at 377.19 226.06) + (diameter 0) + (color 0 0 0 0) + (uuid "b625fd78-5244-4aa4-9e38-72318959a107") + ) + (junction + (at 361.95 233.68) + (diameter 0) + (color 0 0 0 0) + (uuid "d631c047-3fec-4c4f-81b6-a813590040e5") + ) + (junction + (at 303.53 186.69) + (diameter 0) + (color 0 0 0 0) + (uuid "e41c7295-f053-4c8b-8851-f651c8186ab4") + ) + (junction + (at 237.49 226.06) + (diameter 0) + (color 0 0 0 0) + (uuid "ed801359-cd13-4eb5-b6c7-bba22858c8ac") + ) + (junction + (at 361.95 226.06) + (diameter 0) + (color 0 0 0 0) + (uuid "ee6759b9-52a5-4c41-b98b-f1ee539cec55") + ) + (junction + (at 284.48 233.68) + (diameter 0) + (color 0 0 0 0) + (uuid "f8221ce1-5246-4ab0-9169-29634a96b395") + ) + (junction + (at 377.19 233.68) + (diameter 0) + (color 0 0 0 0) + (uuid "faed5a0f-34a0-4430-8b25-6e280e6091f8") + ) + (junction + (at 237.49 233.68) + (diameter 0) + (color 0 0 0 0) + (uuid "fd742227-2528-472a-8052-494ea4b40f82") + ) + (no_connect + (at 153.67 252.73) + (uuid "009fdf76-7cd3-428a-9870-c17b0cb51f18") + ) + (no_connect + (at 58.42 259.08) + (uuid "0d58d9af-aaa4-438c-bc3b-4956da9bcb91") + ) + (no_connect + (at 170.18 152.4) + (uuid "0d9b2d60-f269-4480-aa56-afc516eba27d") + ) + (no_connect + (at 38.1 220.98) + (uuid "111daf3b-3300-4a4e-973d-75c306240fc5") + ) + (no_connect + (at 187.96 255.27) + (uuid "1301f5cc-0ef9-4ac7-8f8d-5bfacef3710b") + ) + (no_connect + (at 140.97 157.48) + (uuid "1cd27313-def5-4577-a73b-d84d4a682526") + ) + (no_connect + (at 361.95 140.97) + (uuid "2767d54d-66f6-4997-a5de-c84689bcec07") + ) + (no_connect + (at 153.67 257.81) + (uuid "27bbe350-2e24-4689-ba89-7551310769ba") + ) + (no_connect + (at 172.72 252.73) + (uuid "28106be5-b049-46fe-b7ef-688b8cf5218f") + ) + (no_connect + (at 212.09 255.27) + (uuid "3a561cbe-0aec-49d7-85a3-5e13388ace82") + ) + (no_connect + (at 73.66 259.08) + (uuid "3beb5ac9-95bc-4bfd-81a6-715bdf692b04") + ) + (no_connect + (at 172.72 257.81) + (uuid "53bdfe76-bbcd-48c8-8388-ccc6b38366e0") + ) + (no_connect + (at 195.58 157.48) + (uuid "59e01b31-9dd6-42b5-a354-39433aba0602") + ) + (no_connect + (at 88.9 116.84) + (uuid "5c505506-6cfb-4ebf-960f-09014d832f36") + ) + (no_connect + (at 115.57 157.48) + (uuid "67d7e1bf-691c-48b9-add4-547f3eab1ea3") + ) + (no_connect + (at 170.18 157.48) + (uuid "69dd0a26-0ffc-4408-bb90-0466a305bdca") + ) + (no_connect + (at 140.97 154.94) + (uuid "6f5f9aee-0523-44f8-874e-a2927d95a8bd") + ) + (no_connect + (at 115.57 152.4) + (uuid "8cc6a774-538a-461c-9e31-003c68128a73") + ) + (no_connect + (at 195.58 154.94) + (uuid "9586f00c-e218-4b04-9d13-1efaf1d1af77") + ) + (no_connect + (at 195.58 152.4) + (uuid "a1406d50-c65b-4207-8279-580c548d1ec4") + ) + (no_connect + (at 115.57 154.94) + (uuid "a34307d5-f3b7-43e0-9fcd-a37360bd5c2b") + ) + (no_connect + (at 196.85 257.81) + (uuid "aaddd7a9-5dcc-43a5-ae4d-e08624d390c1") + ) + (no_connect + (at 22.86 220.98) + (uuid "aee49d9e-8230-4fbd-be46-437c9c23ceb4") + ) + (no_connect + (at 140.97 152.4) + (uuid "c61c53d0-4c19-4fc0-8676-69f8ced7279c") + ) + (no_connect + (at 196.85 252.73) + (uuid "cdb85680-6d64-4fc7-bb81-c3e2bf20da9f") + ) + (no_connect + (at 170.18 154.94) + (uuid "e404e516-47de-41d6-9488-6c95cc7d5acc") + ) + (no_connect + (at 168.91 255.27) + (uuid "e5eeda08-8b38-463f-817d-cd7c7f4caf5b") + ) + (bus_entry + (at 147.32 147.32) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "0095f917-0699-4be8-a48e-fed973a4d4ba") + ) + (bus_entry + (at 96.52 88.9) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "01ce8394-6724-4346-a6cf-a06c8697b871") + ) + (bus_entry + (at 254 86.36) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "03e721db-315a-481a-8785-0403ffa8efc1") + ) + (bus_entry + (at 147.32 93.98) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "06f03bda-19bd-4d86-9110-3b9f7bc71e7f") + ) + (bus_entry + (at 46.99 86.36) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "0a0a8205-a212-4074-ac0a-2ad675c975cf") + ) + (bus_entry + (at 254 101.6) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "0e35145a-26ac-43ea-b233-1192eb0e495a") + ) + (bus_entry + (at 256.54 153.67) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "0fb556d2-d961-4d16-b9bf-0c06c0499440") + ) + (bus_entry + (at 106.68 88.9) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "10c2b07c-e6a0-438b-97d7-7878e0528595") + ) + (bus_entry + (at 209.55 86.36) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "10f823b2-b31c-4826-804f-f4399e6ad4bf") + ) + (bus_entry + (at 256.54 81.28) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "126ebde0-6ef4-4818-876c-f2e4e50958bd") + ) + (bus_entry + (at 209.55 144.78) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "139edcde-6515-4d2b-aa87-c4591d323502") + ) + (bus_entry + (at 106.68 81.28) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "13a984b3-5670-4396-851c-9dd58b83e3b2") + ) + (bus_entry + (at 256.54 156.21) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "17092557-81ca-440b-9781-c96195cd06ee") + ) + (bus_entry + (at 158.75 93.98) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "19195208-f7c4-40a4-8883-023e1504d01a") + ) + (bus_entry + (at 158.75 91.44) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "191a0713-7286-418e-aea0-0557af4aa7d1") + ) + (bus_entry + (at 299.72 93.98) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "1c620a96-48be-493b-a7a4-c9cf5d85c152") + ) + (bus_entry + (at 147.32 144.78) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "1ef16283-3379-49cc-89b6-9cd5f1f78e46") + ) + (bus_entry + (at 256.54 138.43) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "2251a7d6-e578-426a-9e90-d0ef974c30ec") + ) + (bus_entry + (at 96.52 104.14) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "25a479be-38c5-4518-bfb3-0db030ea9a54") + ) + (bus_entry + (at 147.32 149.86) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "26679aa8-6413-464a-88df-0f4ec9cc3f7d") + ) + (bus_entry + (at 254 93.98) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "2973f24f-e055-4b13-9286-81ff01447ef9") + ) + (bus_entry + (at 209.55 91.44) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "2b8497f7-1470-467b-bb48-f9cc993ae1da") + ) + (bus_entry + (at 158.75 83.82) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "2c637147-e115-4c90-adc7-83e21afa8f10") + ) + (bus_entry + (at 158.75 147.32) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "2f3b1b13-96f4-4ec1-8fa4-c2e636b0f4fb") + ) + (bus_entry + (at 209.55 99.06) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "30746714-f32f-48d9-b2eb-1da8574836db") + ) + (bus_entry + (at 209.55 88.9) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3313504a-f071-4fb7-9eb9-b6e5f26fa8c1") + ) + (bus_entry + (at 158.75 137.16) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3405fb25-e54d-40e3-b2a8-df91f4f30911") + ) + (bus_entry + (at 299.72 91.44) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "39d790f7-cc81-4f03-a170-e40b6979b9ce") + ) + (bus_entry + (at 256.54 146.05) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3adfdf24-2e6b-4df1-b885-37694b63ed35") + ) + (bus_entry + (at 106.68 99.06) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3c106cf5-5222-48b1-a6bf-3a47eef8b8a0") + ) + (bus_entry + (at 106.68 139.7) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3e789ee5-a60b-43c5-b3ab-0bbd1ea94aad") + ) + (bus_entry + (at 96.52 111.76) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "3ffea260-82c8-432a-9e8e-d3a7b002a6cc") + ) + (bus_entry + (at 209.55 96.52) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "430a79d2-4df8-4f0d-9ab4-9418db4d408a") + ) + (bus_entry + (at 299.72 96.52) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "449ea6c6-99c7-4b75-b797-b72ecc3b3a98") + ) + (bus_entry + (at 106.68 147.32) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "488f3a33-9e96-4f39-a513-661e84447b97") + ) + (bus_entry + (at 147.32 139.7) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "48d6bd7a-cf63-4079-8bc1-d554a4c41b35") + ) + (bus_entry + (at 256.54 93.98) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "49e42d23-8a67-4a68-86d0-673fdc002809") + ) + (bus_entry + (at 147.32 99.06) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "4a5b8a48-2572-40ed-9d26-07fd89ece5dc") + ) + (bus_entry + (at 147.32 96.52) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "4bdf2acc-1db0-4594-8a22-ebc4762b60ac") + ) + (bus_entry + (at 147.32 101.6) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "4db25b1d-a6a9-41f6-bf00-e062247007a1") + ) + (bus_entry + (at 299.72 99.06) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "4e12c1d9-39f3-4978-82e1-cc5b4b1c8df7") + ) + (bus_entry + (at 299.72 88.9) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "50bf3e30-41e4-411b-b983-97c6e4cc7dd6") + ) + (bus_entry + (at 147.32 88.9) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "50e4dd2a-aada-4d3b-a587-3dd0cca5a044") + ) + (bus_entry + (at 209.55 96.52) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "53d8192a-098b-41bd-9165-748831feb72c") + ) + (bus_entry + (at 46.99 81.28) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "5629c58d-07e4-479c-be32-2cb6c9ace389") + ) + (bus_entry + (at 46.99 99.06) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "5775b881-a0f5-4569-b47d-241dc70930f0") + ) + (bus_entry + (at 256.54 143.51) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "5bb58f03-7b8f-4d7d-b765-42727fcfaaa0") + ) + (bus_entry + (at 209.55 106.68) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "5cc799ca-d3f6-43ce-9332-a549c5b55d54") + ) + (bus_entry + (at 106.68 83.82) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "5f367111-a4f8-476b-ab5c-fdf3ba082348") + ) + (bus_entry + (at 106.68 142.24) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "62ee9c04-4ea4-4e61-be5a-7cb6af7ddb65") + ) + (bus_entry + (at 147.32 91.44) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "635bb527-a2df-477f-8a71-e1a2d7dab561") + ) + (bus_entry + (at 106.68 86.36) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "64a476ce-5b5f-4538-9b74-36a6b4e5478c") + ) + (bus_entry + (at 256.54 83.82) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "64d8e591-4973-4ea9-a1ef-903f80af8e82") + ) + (bus_entry + (at 254 96.52) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "663326f9-a181-47cd-a339-f9c9340bb62a") + ) + (bus_entry + (at 256.54 151.13) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "67d2bd30-bca1-414c-8341-e2bf47044a84") + ) + (bus_entry + (at 209.55 149.86) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "6a7f06de-02ea-475b-bed4-47252846ef90") + ) + (bus_entry + (at 209.55 152.4) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "6bb9bf82-9f40-4b7b-85b2-44c7fb70dfff") + ) + (bus_entry + (at 96.52 86.36) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "6bf2b550-543f-49e0-9132-a912bea9e61a") + ) + (bus_entry + (at 96.52 106.68) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "70170c9e-0acb-45fd-b8f5-3985a5c1a9de") + ) + (bus_entry + (at 209.55 111.76) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "727e65e1-defa-4d6b-afd6-e8a0e5419a61") + ) + (bus_entry + (at 299.72 83.82) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "75ab6512-e23b-4d8b-997f-2afc63e66636") + ) + (bus_entry + (at 256.54 91.44) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "7a62a32b-895e-4054-959b-ad6bdbbf064d") + ) + (bus_entry + (at 209.55 86.36) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "7b3569ca-e571-4429-a9a1-79f12ea8d71c") + ) + (bus_entry + (at 254 83.82) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "7befef95-505e-42e5-9563-87b05bb0a610") + ) + (bus_entry + (at 96.52 116.84) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "7ce05b57-e86f-46f8-b429-3312b32255af") + ) + (bus_entry + (at 209.55 147.32) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "7f7ad328-0b64-49a1-9d03-ddf2f7baaef3") + ) + (bus_entry + (at 256.54 148.59) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "81022cb3-5659-4479-bb23-39dbb1720892") + ) + (bus_entry + (at 256.54 88.9) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "837d8bb3-401a-4704-a4ba-9d7925a5e6e2") + ) + (bus_entry + (at 209.55 104.14) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "83ad8385-fbb3-471c-85d7-dd5e4e839395") + ) + (bus_entry + (at 46.99 96.52) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "8540b3b9-c285-48f8-8b03-313d2145c31d") + ) + (bus_entry + (at 209.55 88.9) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "89062916-ca87-462c-a268-38a2287f12b2") + ) + (bus_entry + (at 96.52 114.3) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "8c917c2b-6ff8-4d02-bbe2-427de1bdd5d7") + ) + (bus_entry + (at 46.99 93.98) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "935c3afc-62c6-4b57-b3fb-799c112c9af3") + ) + (bus_entry + (at 254 88.9) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "95bf1f67-4479-4b62-ba32-65f80472ed01") + ) + (bus_entry + (at 158.75 142.24) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "9701ab35-c092-4536-8b74-2dbfd8a9a89d") + ) + (bus_entry + (at 256.54 99.06) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "9dbbf3f3-0a62-4f8d-baa9-1936b3f198f0") + ) + (bus_entry + (at 96.52 109.22) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "9e313f80-ccbe-48cb-816d-d0a5935415a8") + ) + (bus_entry + (at 256.54 96.52) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "9e864392-c00f-4405-8c96-8a56669c021a") + ) + (bus_entry + (at 209.55 109.22) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "a178b13f-b573-44d9-b0d6-b201f101065d") + ) + (bus_entry + (at 46.99 83.82) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "a3503ad6-6255-4fa1-a3fb-e016ecb4127a") + ) + (bus_entry + (at 209.55 93.98) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "a36f2336-9730-478c-b6f2-d8d0bf546d49") + ) + (bus_entry + (at 209.55 142.24) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "a3902d03-58eb-4362-a461-d910a3febdf5") + ) + (bus_entry + (at 96.52 96.52) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "a4609431-fde9-48e2-b022-cacab2e43e2e") + ) + (bus_entry + (at 46.99 91.44) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "a866049e-a6e0-43fa-b8ea-1cc19625fdd9") + ) + (bus_entry + (at 106.68 91.44) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "a9f6158e-c2d6-42ea-8af3-38df031725e9") + ) + (bus_entry + (at 106.68 144.78) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "ab91c2ad-b945-408f-a076-f98fde27ec38") + ) + (bus_entry + (at 96.52 101.6) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "af13fa4a-8d47-4316-a876-e1eb05162d74") + ) + (bus_entry + (at 209.55 81.28) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "b07fe230-eb8a-4a24-9cf8-0b9ad2e27e08") + ) + (bus_entry + (at 158.75 96.52) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "b153611e-6cfb-40d1-a093-b91b7bdbc025") + ) + (bus_entry + (at 147.32 142.24) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "b61e3777-1c75-4630-a1db-284ae9c6cac6") + ) + (bus_entry + (at 254 91.44) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "b6aab3d0-ad33-4c6a-867f-efcbcfaf8c08") + ) + (bus_entry + (at 46.99 111.76) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "b8921af9-e1d7-42fd-90f0-a31b926395c4") + ) + (bus_entry + (at 46.99 88.9) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "b9029977-2c8e-421c-a62b-b58d160c311b") + ) + (bus_entry + (at 158.75 99.06) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "b915b7c5-9b3b-47c3-b8f0-69eb3555ee14") + ) + (bus_entry + (at 106.68 96.52) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "ba15796d-c57d-46f3-bfb5-22a74720c008") + ) + (bus_entry + (at 158.75 88.9) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "ba287e97-1127-428e-9c85-1cff9118b5a8") + ) + (bus_entry + (at 254 99.06) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "bb347d85-c89d-4e6e-a689-a39547090738") + ) + (bus_entry + (at 209.55 91.44) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "bfe6cca6-311b-4ae8-ba4a-5806afe72ab3") + ) + (bus_entry + (at 209.55 101.6) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "c41bf8c6-dd2f-4ca3-bede-b7ca747a71fc") + ) + (bus_entry + (at 158.75 81.28) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "c63523e2-7aa4-4658-9987-2f9c3202f7d7") + ) + (bus_entry + (at 256.54 86.36) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "c65ee8f1-b9b8-4b30-ab69-5dcdbaaf4e1c") + ) + (bus_entry + (at 147.32 83.82) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "cb4730e2-d2a2-4b12-bf47-4a816dab4d79") + ) + (bus_entry + (at 209.55 83.82) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "cb97f8a7-4049-4594-acab-688da1eb3a36") + ) + (bus_entry + (at 209.55 104.14) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "d024af70-0ac1-454c-adca-89fdea4b5fbb") + ) + (bus_entry + (at 209.55 93.98) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "d1e5a431-220e-46a2-854d-8fea7d0b6b06") + ) + (bus_entry + (at 299.72 101.6) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "d4d9c6ff-c061-462b-a228-98146f9f38eb") + ) + (bus_entry + (at 299.72 86.36) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "d89ddb1e-e85c-402c-9d38-76944b2b2251") + ) + (bus_entry + (at 256.54 140.97) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "dbc8ae58-6ddf-43d6-9e0c-c1d6d8145fc4") + ) + (bus_entry + (at 96.52 99.06) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "e120782d-3b75-4d47-92f4-c65b78b4b2f0") + ) + (bus_entry + (at 147.32 86.36) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "e7785fe2-7fb2-4239-861f-3f7326c7c0a2") + ) + (bus_entry + (at 106.68 93.98) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "e9bee5bb-0140-4171-8736-47d11fbfe770") + ) + (bus_entry + (at 209.55 99.06) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "ee46d806-4108-4394-92f2-d69e03d7bdf8") + ) + (bus_entry + (at 158.75 139.7) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "f085b35e-0f6b-41b7-b539-9096971c93ee") + ) + (bus_entry + (at 209.55 101.6) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "f507ecc0-2515-41eb-82d4-b3ef7c0f84dd") + ) + (bus_entry + (at 106.68 137.16) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "f9e11a6d-d361-4d31-9143-f531ec4a1d01") + ) + (bus_entry + (at 96.52 93.98) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "fa6eb1c6-af77-4b03-a705-374a00818a98") + ) + (bus_entry + (at 96.52 91.44) + (size -2.54 -2.54) + (stroke + (width 0) + (type default) + ) + (uuid "fca813ce-6b8d-4ddb-b83c-1246293d605c") + ) + (bus_entry + (at 158.75 144.78) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "fe1acf91-8957-46be-b45c-294da4970e17") + ) + (bus_entry + (at 158.75 86.36) + (size 2.54 2.54) + (stroke + (width 0) + (type default) + ) + (uuid "fe4bbdd3-9d42-4f1e-9a25-ffe19d6076ea") + ) + (wire + (pts + (xy 161.29 83.82) (xy 170.18 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0002d5c5-2885-4ace-8a23-06091b0036d2") + ) + (bus + (pts + (xy 106.68 99.06) (xy 106.68 137.16) + ) + (stroke + (width 0) + (type default) + ) + (uuid "009e49ce-f00a-42f2-abba-2dab1989a8c0") + ) + (bus + (pts + (xy 256.54 91.44) (xy 256.54 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0129fc07-2c8f-4f48-b67f-a1250c8409e6") + ) + (bus + (pts + (xy 302.26 88.9) (xy 302.26 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "01a734d2-194f-4f02-a1c3-b653d7d45beb") + ) + (wire + (pts + (xy 88.9 88.9) (xy 93.98 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "029a7052-a6c2-434f-80ee-6b13c6540107") + ) + (bus + (pts + (xy 149.86 147.32) (xy 149.86 149.86) + ) + (stroke + (width 0) + (type default) + ) + (uuid "02f04f5d-5a8e-418c-9c4c-f3db6fbcca66") + ) + (wire + (pts + (xy 212.09 106.68) (xy 219.71 106.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "041af53c-f813-4021-b058-aba5e344b64f") + ) + (wire + (pts + (xy 195.58 96.52) (xy 207.01 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "04dc2913-b3fb-4c35-8637-2ebd01d00e27") + ) + (bus + (pts + (xy 209.55 88.9) (xy 209.55 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "062a2059-c505-401c-b541-d2de45bc90de") + ) + (wire + (pts + (xy 361.95 233.68) (xy 377.19 233.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "069a5028-da71-40c7-8294-d81d6d8e14bb") + ) + (wire + (pts + (xy 293.37 146.05) (xy 303.53 146.05) + ) + (stroke + (width 0) + (type default) + ) + (uuid "06fbf73d-ed49-4064-97ee-2210227f1489") + ) + (wire + (pts + (xy 259.08 153.67) (xy 267.97 153.67) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0b9d094b-66cf-4faa-b952-21f66eb0f356") + ) + (wire + (pts + (xy 49.53 96.52) (xy 58.42 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0be9362c-c80c-409c-962c-b9bf5ec68a1a") + ) + (wire + (pts + (xy 88.9 96.52) (xy 93.98 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0c88f9ce-25df-40e0-9017-6d2690f95598") + ) + (wire + (pts + (xy 284.48 226.06) (xy 300.99 226.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0cfbdba8-a6ad-46ad-9f2c-59d740760ea2") + ) + (wire + (pts + (xy 293.37 153.67) (xy 303.53 153.67) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0e81295f-19eb-4fa6-975f-07b78b63f8b0") + ) + (bus + (pts + (xy 209.55 149.86) (xy 209.55 152.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "10304b75-0ccd-47c2-8239-96f7af81376b") + ) + (bus + (pts + (xy 106.68 88.9) (xy 106.68 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1035db82-f91e-46fe-b3ae-f5b7ec6ec378") + ) + (wire + (pts + (xy 161.29 101.6) (xy 170.18 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1052485a-0bd3-4cff-bd7b-b997bdcada0c") + ) + (wire + (pts + (xy 195.58 149.86) (xy 207.01 149.86) + ) + (stroke + (width 0) + (type default) + ) + (uuid "10d59b5a-2456-4db2-963a-0ef24bc3ea1f") + ) + (wire + (pts + (xy 140.97 99.06) (xy 147.32 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1134fd28-ebe0-4c94-9b79-8b5dfdcd790e") + ) + (bus + (pts + (xy 209.55 111.76) (xy 209.55 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "118134ae-3f31-401a-8061-d677c7c9f830") + ) + (wire + (pts + (xy 140.97 83.82) (xy 147.32 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "13036e05-459a-430b-869a-d7e371f610c8") + ) + (wire + (pts + (xy 88.9 106.68) (xy 93.98 106.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "13c6d278-bc61-4ef8-b712-6d0598cf296f") + ) + (bus + (pts + (xy 44.45 181.61) (xy 158.75 181.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "16fa1a5e-4658-4fcd-81a5-53aaf335c204") + ) + (wire + (pts + (xy 245.11 91.44) (xy 254 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "16fce822-bb69-4f87-bd62-04ca68ea3280") + ) + (bus + (pts + (xy 96.52 104.14) (xy 96.52 106.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "17f0c2c5-636f-4108-be96-0030539afbb2") + ) + (wire + (pts + (xy 161.29 149.86) (xy 170.18 149.86) + ) + (stroke + (width 0) + (type default) + ) + (uuid "191ecd9a-d003-4e4f-9387-e9a8fb712e52") + ) + (bus + (pts + (xy 149.86 93.98) (xy 149.86 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "19884772-7760-4dfe-965b-4fa71e2781d7") + ) + (bus + (pts + (xy 106.68 142.24) (xy 106.68 144.78) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1a0beb4b-f7ff-4d60-aaa7-bfffd029afe5") + ) + (bus + (pts + (xy 209.55 144.78) (xy 209.55 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1ab20470-ea80-48e5-baed-b61c685aa102") + ) + (bus + (pts + (xy 96.52 88.9) (xy 96.52 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1c7e6179-f2b0-4978-a207-aa9920cf4e8d") + ) + (bus + (pts + (xy 256.54 140.97) (xy 256.54 143.51) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1de41d22-137c-4bff-aadc-bd254d700a70") + ) + (bus + (pts + (xy 106.68 96.52) (xy 106.68 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1fec8e5b-77db-4948-9165-0cb5880cc6bc") + ) + (wire + (pts + (xy 195.58 86.36) (xy 207.01 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "20393a76-28bb-4ffa-8bbf-177d46fad023") + ) + (wire + (pts + (xy 161.29 147.32) (xy 170.18 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "215c1aa0-661a-416f-9f9d-3f9015c19bf7") + ) + (wire + (pts + (xy 316.23 233.68) (xy 331.47 233.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "21c9b82e-a240-4523-b977-249b65dc9ca3") + ) + (bus + (pts + (xy 158.75 88.9) (xy 158.75 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2230caa6-e912-4730-bdc8-f733f28743f1") + ) + (bus + (pts + (xy 149.86 68.58) (xy 209.55 68.58) + ) + (stroke + (width 0) + (type default) + ) + (uuid "22495606-9b5f-41e1-9ae7-16cf2e0199e6") + ) + (bus + (pts + (xy 256.54 93.98) (xy 256.54 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "22e0859c-64a9-4472-9734-7517e8398ae7") + ) + (bus + (pts + (xy 302.26 99.06) (xy 302.26 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "22f774e8-07a4-4203-b5c9-663207ab8ee6") + ) + (wire + (pts + (xy 377.19 226.06) (xy 389.89 226.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "22f7f6d9-5558-41d3-a6d9-24350af6ca46") + ) + (wire + (pts + (xy 361.95 226.06) (xy 377.19 226.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "23d27533-b94e-496f-9f51-83f98a6d567b") + ) + (wire + (pts + (xy 195.58 147.32) (xy 207.01 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "280370b2-01a2-477e-8ee6-1f0dacae84c9") + ) + (bus + (pts + (xy 106.68 137.16) (xy 106.68 139.7) + ) + (stroke + (width 0) + (type default) + ) + (uuid "28ecaa91-3bce-42d3-b453-91f21c7d3a00") + ) + (wire + (pts + (xy 245.11 93.98) (xy 254 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "29ffc6da-488f-411e-9eb8-7ae108dab7c5") + ) + (bus + (pts + (xy 158.75 83.82) (xy 158.75 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2a433458-9f6f-4d89-95cd-6e4bdb5d4a1d") + ) + (wire + (pts + (xy 293.37 156.21) (xy 303.53 156.21) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2a4a4e28-c1c1-47bf-8b2c-0fa0bff99ca7") + ) + (bus + (pts + (xy 158.75 144.78) (xy 158.75 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2ace5b5e-d3be-4d8c-a197-7146774e9c1c") + ) + (wire + (pts + (xy 323.85 140.97) (xy 336.55 140.97) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2b43d341-510e-4281-b68f-fa51dca93807") + ) + (bus + (pts + (xy 96.52 93.98) (xy 96.52 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2c0e883c-1309-42a7-b8e1-5fada22655bd") + ) + (wire + (pts + (xy 88.9 93.98) (xy 93.98 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2c267c97-ef57-42da-b557-95e1c642bcfa") + ) + (wire + (pts + (xy 195.58 88.9) (xy 207.01 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2e9c856c-85bc-46a9-8796-f8fda667097f") + ) + (wire + (pts + (xy 88.9 83.82) (xy 93.98 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2eef17f1-85c0-462d-96cc-cc7ea2709917") + ) + (wire + (pts + (xy 109.22 91.44) (xy 115.57 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2fe98efd-f3f1-46c8-a303-6218abc4ff84") + ) + (wire + (pts + (xy 109.22 147.32) (xy 115.57 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "30639ab4-c7de-4944-abea-cc460c3809bb") + ) + (wire + (pts + (xy 140.97 139.7) (xy 147.32 139.7) + ) + (stroke + (width 0) + (type default) + ) + (uuid "312407b3-50d2-4580-bbc6-0ad1049b1582") + ) + (wire + (pts + (xy 109.22 86.36) (xy 115.57 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3140a57c-631d-49cd-a1ea-3646bcfe9503") + ) + (wire + (pts + (xy 347.98 233.68) (xy 361.95 233.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3213c340-affe-4a23-9e11-c2f912d5164f") + ) + (wire + (pts + (xy 212.09 99.06) (xy 219.71 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "32cb9765-fd78-494b-8e60-27c88439378a") + ) + (bus + (pts + (xy 46.99 86.36) (xy 46.99 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "32d417be-aaa1-4638-9ba3-8507ec618537") + ) + (wire + (pts + (xy 161.29 88.9) (xy 170.18 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "341b84b4-432d-4621-9041-b85b0744d7bd") + ) + (bus + (pts + (xy 256.54 143.51) (xy 256.54 146.05) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3442e62e-6b54-4263-9304-36c321b6d952") + ) + (wire + (pts + (xy 293.37 91.44) (xy 299.72 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3483f26b-6ef6-4e6c-bba7-a23b83d55400") + ) + (wire + (pts + (xy 259.08 143.51) (xy 267.97 143.51) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3566cac8-a259-4584-b6bb-98286071b860") + ) + (wire + (pts + (xy 237.49 233.68) (xy 252.73 233.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "362b5535-94e8-4ba6-bb2d-4d7f29e0eb4b") + ) + (wire + (pts + (xy 245.11 86.36) (xy 254 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "385a887b-c20d-4adf-8402-cd9a3cf12a76") + ) + (wire + (pts + (xy 237.49 226.06) (xy 252.73 226.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "39bf0f4c-11fe-4ff0-88e0-0133458e6f0a") + ) + (wire + (pts + (xy 212.09 88.9) (xy 219.71 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3a38e87a-a271-4c73-bc14-9ae223dc7b4c") + ) + (bus + (pts + (xy 46.99 63.5) (xy 302.26 63.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3a7a0e61-6407-4cb0-96bf-fa48956dc0a4") + ) + (wire + (pts + (xy 88.9 114.3) (xy 93.98 114.3) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3c3a1af2-92f9-4b30-8282-9994da2ce047") + ) + (wire + (pts + (xy 284.48 233.68) (xy 300.99 233.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3c8ab067-3e00-4191-80af-ab2d62c14cf6") + ) + (wire + (pts + (xy 109.22 142.24) (xy 115.57 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3cd7f8fb-f2ca-4595-a5de-c6111c5b2f4c") + ) + (bus + (pts + (xy 256.54 86.36) (xy 256.54 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3d9a551a-98e7-4d44-8682-c9571d646f1c") + ) + (wire + (pts + (xy 293.37 88.9) (xy 299.72 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3e757593-e0f9-4f30-b4b8-daa69a8730a9") + ) + (bus + (pts + (xy 256.54 151.13) (xy 256.54 153.67) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3f3db97f-cd5f-4dc4-9d98-0b9974404349") + ) + (wire + (pts + (xy 195.58 142.24) (xy 207.01 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4091b61e-19b9-4aee-a5fb-02bdf1f430ca") + ) + (wire + (pts + (xy 88.9 91.44) (xy 93.98 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "40bddd6a-b3a8-4fc5-8868-077566c00efc") + ) + (bus + (pts + (xy 106.68 86.36) (xy 106.68 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "41273b29-d6cf-4bda-9922-1c5c7bcb135c") + ) + (wire + (pts + (xy 195.58 91.44) (xy 207.01 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "417838c2-271a-40d9-b6f4-855ba3849eec") + ) + (bus + (pts + (xy 96.52 99.06) (xy 96.52 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "426a9da7-37f3-4d0b-8f42-9551b9345faf") + ) + (bus + (pts + (xy 44.45 109.22) (xy 44.45 181.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "433cc7e1-6d7e-4dd5-a418-edfa48c47aa1") + ) + (wire + (pts + (xy 259.08 151.13) (xy 267.97 151.13) + ) + (stroke + (width 0) + (type default) + ) + (uuid "44749359-41f1-40b5-bd9f-28065bce04c4") + ) + (wire + (pts + (xy 140.97 144.78) (xy 147.32 144.78) + ) + (stroke + (width 0) + (type default) + ) + (uuid "457b9a43-1406-443f-9743-3d2311b61eb9") + ) + (bus + (pts + (xy 158.75 139.7) (xy 158.75 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "486937bf-d42b-498d-9f38-00fb9d48845a") + ) + (wire + (pts + (xy 140.97 149.86) (xy 147.32 149.86) + ) + (stroke + (width 0) + (type default) + ) + (uuid "48c3be67-89bd-4be7-bb61-b838c813d88d") + ) + (bus + (pts + (xy 96.52 114.3) (xy 96.52 116.84) + ) + (stroke + (width 0) + (type default) + ) + (uuid "48c3dc41-b8cd-40c5-b7d7-c1be86d795e3") + ) + (bus + (pts + (xy 96.52 96.52) (xy 96.52 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4a6d3111-ac07-4555-b39a-9a3e4fb78d0c") + ) + (wire + (pts + (xy 323.85 158.75) (xy 336.55 158.75) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4adec961-6836-430a-82f7-d1efadf8b65b") + ) + (wire + (pts + (xy 293.37 101.6) (xy 299.72 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4b116b6e-2c6f-415d-bc72-dd1929dbcca7") + ) + (bus + (pts + (xy 158.75 86.36) (xy 158.75 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4c2209f6-8aac-43c4-bcd0-33933c4f62b2") + ) + (wire + (pts + (xy 252.73 226.06) (xy 267.97 226.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4c3b7e89-a813-488f-a4bd-4259b876cd28") + ) + (wire + (pts + (xy 161.29 93.98) (xy 170.18 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4c725c35-8bf6-43f9-8716-a1ba062b4ac7") + ) + (wire + (pts + (xy 109.22 101.6) (xy 115.57 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4d8d46e4-9fb3-45c4-822f-acd5ac07feed") + ) + (bus + (pts + (xy 256.54 96.52) (xy 256.54 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4da22131-6ff6-40f5-8b64-8fd0d2b73540") + ) + (wire + (pts + (xy 161.29 96.52) (xy 170.18 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4e4ba875-2c43-465c-bd5d-8ee6256baa11") + ) + (wire + (pts + (xy 259.08 156.21) (xy 267.97 156.21) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4e62541f-f0f2-4218-8192-3519ca14080b") + ) + (wire + (pts + (xy 212.09 96.52) (xy 219.71 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4eed9e55-ce3c-4c77-af4f-764d53caca28") + ) + (wire + (pts + (xy 323.85 143.51) (xy 336.55 143.51) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4f6c6b9c-0881-4ec9-af3f-01e77e84163c") + ) + (bus + (pts + (xy 96.52 106.68) (xy 96.52 109.22) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4fb088d7-3c3d-48c3-a4eb-a06a0c91ccaa") + ) + (wire + (pts + (xy 259.08 158.75) (xy 267.97 158.75) + ) + (stroke + (width 0) + (type default) + ) + (uuid "50b615aa-f835-4eb8-8271-9f3af9b630fd") + ) + (bus + (pts + (xy 158.75 81.28) (xy 158.75 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "52537fe1-2957-4b5d-a24d-1f287be7f675") + ) + (wire + (pts + (xy 245.11 101.6) (xy 254 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "53c82c6e-a0a4-4df4-ad90-bc60aea5fc96") + ) + (wire + (pts + (xy 259.08 86.36) (xy 267.97 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5474c79e-076b-44ea-b5ec-546eddb1510a") + ) + (wire + (pts + (xy 293.37 83.82) (xy 299.72 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5602327c-485c-4bb6-a388-e975a438d314") + ) + (bus + (pts + (xy 256.54 99.06) (xy 256.54 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "58976645-b898-4cc3-8618-921618a93225") + ) + (wire + (pts + (xy 140.97 147.32) (xy 147.32 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "598de80a-4afa-47b3-8043-3d3764678af3") + ) + (wire + (pts + (xy 293.37 151.13) (xy 303.53 151.13) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5a064c30-e8c7-4dae-bb4e-93d899f735e8") + ) + (wire + (pts + (xy 245.11 106.68) (xy 245.11 111.76) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5bc783b0-d0db-42ef-90b6-81dcadbe4df7") + ) + (wire + (pts + (xy 245.11 83.82) (xy 254 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5c92e0ee-02d2-4181-a839-c6ef180c0200") + ) + (bus + (pts + (xy 209.55 142.24) (xy 209.55 144.78) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5cc7daa2-cbb7-4516-9144-bf462182799d") + ) + (bus + (pts + (xy 149.86 91.44) (xy 149.86 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5d7511b6-67f3-4db9-a08f-3a0f05d19902") + ) + (wire + (pts + (xy 212.09 83.82) (xy 219.71 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5dc28a35-1b1c-4f8c-b0c7-5773c80b53cb") + ) + (wire + (pts + (xy 88.9 137.16) (xy 95.25 137.16) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5e0e6933-7b2b-4e6d-bf01-37a0a7be367a") + ) + (wire + (pts + (xy 293.37 158.75) (xy 303.53 158.75) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5e70d951-58b7-416c-8cef-a132cdac7840") + ) + (bus + (pts + (xy 96.52 86.36) (xy 96.52 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5f7a7f1b-f203-4495-8420-c66ba1b4eed6") + ) + (wire + (pts + (xy 370.84 138.43) (xy 361.95 138.43) + ) + (stroke + (width 0) + (type default) + ) + (uuid "60873d34-6593-4d84-b4e2-f82d400f76ce") + ) + (wire + (pts + (xy 161.29 144.78) (xy 170.18 144.78) + ) + (stroke + (width 0) + (type default) + ) + (uuid "615f9c19-59e4-41ca-af55-f143d34c24b4") + ) + (wire + (pts + (xy 267.97 226.06) (xy 284.48 226.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "62bf390e-221c-41fb-b8d4-71bd534d0f1e") + ) + (wire + (pts + (xy 212.09 114.3) (xy 219.71 114.3) + ) + (stroke + (width 0) + (type default) + ) + (uuid "639e6097-8bda-4965-ad58-b24d77fa3759") + ) + (bus + (pts + (xy 149.86 144.78) (xy 149.86 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "654eaca1-454d-44df-88ed-f44a2a150343") + ) + (bus + (pts + (xy 149.86 104.14) (xy 149.86 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "65d8fda0-3c57-4521-8aa4-6230eb199691") + ) + (bus + (pts + (xy 96.52 101.6) (xy 96.52 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "666bec85-be37-4c06-a294-c6f831b99d33") + ) + (bus + (pts + (xy 149.86 142.24) (xy 149.86 144.78) + ) + (stroke + (width 0) + (type default) + ) + (uuid "683b93de-c294-4ea1-b999-8c7288c30efd") + ) + (wire + (pts + (xy 49.53 86.36) (xy 58.42 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "685af191-ca9a-4273-b4d5-4a9708957cde") + ) + (bus + (pts + (xy 46.99 96.52) (xy 46.99 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "685e1907-d304-4510-9cec-8fa139ad5aa2") + ) + (bus + (pts + (xy 256.54 148.59) (xy 256.54 151.13) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6a677429-2dcc-44fc-ab57-1ec5ffb165b9") + ) + (wire + (pts + (xy 49.53 83.82) (xy 58.42 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6a6fc907-6b23-4885-a7e7-653f94089de1") + ) + (wire + (pts + (xy 303.53 184.15) (xy 303.53 186.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6cfa7495-f2ee-44bd-b245-e2f8945325a8") + ) + (wire + (pts + (xy 140.97 96.52) (xy 147.32 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6d3ecc90-e87d-4d39-beab-ddf11d5ce4a4") + ) + (wire + (pts + (xy 323.85 156.21) (xy 336.55 156.21) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6f6e6e5b-2b20-47c8-bcdf-709fb65c1aa1") + ) + (wire + (pts + (xy 161.29 142.24) (xy 170.18 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7148b602-6a8b-49c9-a0e2-ccb1ebabcf52") + ) + (bus + (pts + (xy 149.86 88.9) (xy 149.86 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7302e4b0-5c9c-4393-abd6-4c2e23836864") + ) + (bus + (pts + (xy 96.52 109.22) (xy 96.52 111.76) + ) + (stroke + (width 0) + (type default) + ) + (uuid "73447296-e1ac-4361-96da-2814acdbba77") + ) + (bus + (pts + (xy 302.26 101.6) (xy 302.26 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "73e43a2e-e52f-432a-9a4f-fc43c030e818") + ) + (wire + (pts + (xy 88.9 99.06) (xy 93.98 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "74ff19d7-b5d7-4526-a706-5287ffffaecb") + ) + (bus + (pts + (xy 209.55 99.06) (xy 209.55 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7621701d-533e-4dda-af72-5770281d8e4e") + ) + (bus + (pts + (xy 106.68 83.82) (xy 106.68 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "767a5599-2dae-46c2-9b6c-db2497bc0b64") + ) + (wire + (pts + (xy 259.08 101.6) (xy 267.97 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7764cfbd-6f78-4dd3-a75c-a525caa25df4") + ) + (wire + (pts + (xy 88.9 109.22) (xy 93.98 109.22) + ) + (stroke + (width 0) + (type default) + ) + (uuid "79e5ccdd-f61a-4df2-a25e-815ea832492f") + ) + (wire + (pts + (xy 300.99 233.68) (xy 316.23 233.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7c398aea-f60b-415a-941a-a108c64e6e4c") + ) + (bus + (pts + (xy 209.55 104.14) (xy 209.55 106.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7ef6461c-9bbc-44d6-8531-93c83416a68f") + ) + (bus + (pts + (xy 149.86 101.6) (xy 149.86 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7fa6f678-f377-4e30-a097-d92b003289e7") + ) + (wire + (pts + (xy 88.9 101.6) (xy 93.98 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "833fa1b3-e727-4a8d-900e-bc07620a4b12") + ) + (wire + (pts + (xy 49.53 91.44) (xy 58.42 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "879ec06c-707b-4389-86e6-690e16679610") + ) + (wire + (pts + (xy 140.97 91.44) (xy 147.32 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "887273f2-7970-4da9-9228-60fd7757c087") + ) + (wire + (pts + (xy 267.97 233.68) (xy 284.48 233.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8ce9a770-9bce-4c36-9e46-9128664b0c40") + ) + (wire + (pts + (xy 109.22 139.7) (xy 115.57 139.7) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8d76f4bd-70a8-4a56-8355-b9f1ac00571f") + ) + (wire + (pts + (xy 245.11 99.06) (xy 254 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8d805478-8a53-4a82-b49f-a53de3a4b4e8") + ) + (wire + (pts + (xy 66.04 195.58) (xy 66.04 198.12) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8d8e0678-df68-480f-8cad-40805919b015") + ) + (bus + (pts + (xy 96.52 81.28) (xy 96.52 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8dc13fd3-7134-4ced-bf91-bddf649ebae2") + ) + (bus + (pts + (xy 149.86 104.14) (xy 149.86 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8e759122-3f77-432a-8bae-a52a49589688") + ) + (wire + (pts + (xy 46.99 111.76) (xy 58.42 111.76) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8f4c1c77-972d-469e-9231-aeea352a7e6c") + ) + (wire + (pts + (xy 252.73 233.68) (xy 267.97 233.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "932903b7-7efd-4636-8fb3-19efe7a9f308") + ) + (wire + (pts + (xy 109.22 149.86) (xy 115.57 149.86) + ) + (stroke + (width 0) + (type default) + ) + (uuid "93df25fb-e384-461f-9b49-4894f0938f8d") + ) + (bus + (pts + (xy 46.99 88.9) (xy 46.99 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "96cf5d22-5a86-494e-b02e-82ad05e5cbeb") + ) + (bus + (pts + (xy 209.55 86.36) (xy 209.55 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9832adfa-89be-4eb2-9126-2c1b7c358140") + ) + (bus + (pts + (xy 158.75 93.98) (xy 158.75 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9838ea1e-ba44-4774-8bde-43b59f387ee6") + ) + (bus + (pts + (xy 256.54 146.05) (xy 256.54 148.59) + ) + (stroke + (width 0) + (type default) + ) + (uuid "987af9d9-3f5d-4269-9b8f-f511ed425ddd") + ) + (bus + (pts + (xy 158.75 137.16) (xy 158.75 139.7) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9aa571d1-bb45-48d5-be7d-f5f2e0ea002c") + ) + (bus + (pts + (xy 46.99 81.28) (xy 46.99 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9ad8c8aa-7618-4419-98c5-a66020e97203") + ) + (bus + (pts + (xy 256.54 153.67) (xy 256.54 156.21) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9b004c2b-a059-4150-9cb0-3dd59c7734f9") + ) + (wire + (pts + (xy 49.53 101.6) (xy 58.42 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9fd8a16c-3abb-4e36-a895-e10defbc3bfb") + ) + (wire + (pts + (xy 259.08 146.05) (xy 267.97 146.05) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a0f112d7-bd3a-4bb3-8ebc-08608309c331") + ) + (bus + (pts + (xy 209.55 106.68) (xy 209.55 109.22) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a10a188a-51de-4f57-a3db-6d3858388eca") + ) + (wire + (pts + (xy 347.98 226.06) (xy 361.95 226.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a1ddd97e-6493-46c4-8a7c-21d6c7e33e65") + ) + (bus + (pts + (xy 96.52 111.76) (xy 96.52 114.3) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a339d16c-ca59-4c41-84b7-60b79c2a6911") + ) + (wire + (pts + (xy 66.04 203.2) (xy 66.04 207.01) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a3a1be3c-b2da-4a4e-abee-840a52c68fd3") + ) + (bus + (pts + (xy 158.75 96.52) (xy 158.75 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a3b51e51-e555-460b-95ae-418f606649c8") + ) + (bus + (pts + (xy 256.54 138.43) (xy 256.54 140.97) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a3d9f4d2-07a7-4590-8232-b4e337c742ea") + ) + (bus + (pts + (xy 209.55 91.44) (xy 209.55 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a4bfae1f-0247-4d9b-9fea-9a0ecc2c4281") + ) + (wire + (pts + (xy 109.22 96.52) (xy 115.57 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a54d58db-4cf2-4997-9612-20ec3565ae44") + ) + (bus + (pts + (xy 96.52 81.28) (xy 106.68 81.28) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a5720a57-3ef1-4117-83d2-9ed647b3c997") + ) + (wire + (pts + (xy 195.58 101.6) (xy 207.01 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a5f7524c-e009-4759-8722-e6ad7267f75a") + ) + (wire + (pts + (xy 259.08 93.98) (xy 267.97 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a67df5c3-f5af-4234-9269-3e6cd820fbb6") + ) + (wire + (pts + (xy 212.09 109.22) (xy 219.71 109.22) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a7a583e0-9e5c-4e89-800b-5d99d2c93b55") + ) + (wire + (pts + (xy 109.22 83.82) (xy 115.57 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a821a867-88e0-4c8b-9241-77fdd3f92714") + ) + (bus + (pts + (xy 149.86 86.36) (xy 149.86 68.58) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a9ee63a4-b741-42e8-baf3-6c9b1025bf31") + ) + (wire + (pts + (xy 245.11 88.9) (xy 254 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "aa0e3879-b1ee-477d-917f-a020213d595e") + ) + (bus + (pts + (xy 46.99 83.82) (xy 46.99 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "aa221b86-7525-44bb-a463-c7a1ebec8a3f") + ) + (bus + (pts + (xy 209.55 93.98) (xy 209.55 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "aaed2dd4-b9f9-4a3e-8e1c-194dbbe49bf6") + ) + (bus + (pts + (xy 106.68 93.98) (xy 106.68 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "aca0cd79-af22-476b-b35a-a7d786ad01a9") + ) + (wire + (pts + (xy 293.37 96.52) (xy 299.72 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "acdc04e6-86c2-46b4-a18a-97d6994d7a61") + ) + (wire + (pts + (xy 259.08 140.97) (xy 267.97 140.97) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ad0ebe15-6338-4a27-b4ac-df50eabdeffc") + ) + (bus + (pts + (xy 256.54 104.14) (xy 256.54 138.43) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ade01711-f564-49ba-b580-98155f278a31") + ) + (wire + (pts + (xy 88.9 86.36) (xy 93.98 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "aea1e76b-887c-4f89-b144-cbdfc412467c") + ) + (wire + (pts + (xy 49.53 88.9) (xy 58.42 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "af59309b-447c-41eb-adf2-d63fc43608b3") + ) + (bus + (pts + (xy 256.54 83.82) (xy 256.54 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "afc95f97-e5f7-4402-a79f-c29aa96859d4") + ) + (wire + (pts + (xy 140.97 142.24) (xy 147.32 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "affd4788-b867-4e51-a03c-66b35cab8df7") + ) + (wire + (pts + (xy 140.97 88.9) (xy 147.32 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b03fe73b-d022-455a-b714-a3cd6284e495") + ) + (bus + (pts + (xy 46.99 63.5) (xy 46.99 81.28) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b0bf60e6-d245-4614-800e-f021c0c2206e") + ) + (bus + (pts + (xy 46.99 93.98) (xy 46.99 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b19d6e51-7e3d-4d37-8ec7-77cd131b7f70") + ) + (wire + (pts + (xy 161.29 99.06) (xy 170.18 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b235486d-caef-4a57-a66c-7629d7ff60ba") + ) + (wire + (pts + (xy 109.22 99.06) (xy 115.57 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b293097e-1335-49ea-82ee-ee45c7b0bf8f") + ) + (wire + (pts + (xy 259.08 88.9) (xy 267.97 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b2d07eb9-93c8-4d20-b963-a39e5d1c8924") + ) + (wire + (pts + (xy 259.08 83.82) (xy 267.97 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b37224e6-a039-441d-9e46-fdd832c5fb1a") + ) + (wire + (pts + (xy 323.85 148.59) (xy 336.55 148.59) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b44d5ac9-3946-4b5f-b584-a164338f319d") + ) + (wire + (pts + (xy 161.29 86.36) (xy 170.18 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b4a90f6c-e8a1-411f-b45d-90a4b7011de7") + ) + (wire + (pts + (xy 259.08 148.59) (xy 267.97 148.59) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b5673181-7db9-4220-843e-95bacc77f4ad") + ) + (wire + (pts + (xy 212.09 91.44) (xy 219.71 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b6a10520-cec6-43e1-9821-6c8780d970e9") + ) + (wire + (pts + (xy 293.37 143.51) (xy 303.53 143.51) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b723daf8-5b64-4536-8fdf-c3d843a6e2e1") + ) + (bus + (pts + (xy 149.86 149.86) (xy 149.86 152.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b8a0f96b-4eb9-46da-b369-b0209873fab4") + ) + (wire + (pts + (xy 161.29 91.44) (xy 170.18 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b9c08e6f-2c34-4473-9734-ada6482704e0") + ) + (wire + (pts + (xy 109.22 144.78) (xy 115.57 144.78) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ba7af6c0-c568-438d-a041-ea189f614aeb") + ) + (bus + (pts + (xy 302.26 63.5) (xy 302.26 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bb062532-c096-4f31-9c1d-4266ec68806f") + ) + (bus + (pts + (xy 158.75 142.24) (xy 158.75 144.78) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bd41c8ad-d5a2-451e-b3ae-103a137fe1d9") + ) + (bus + (pts + (xy 209.55 68.58) (xy 209.55 81.28) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bf475768-c1f5-40c9-853f-a9ed1fcb0c84") + ) + (wire + (pts + (xy 140.97 86.36) (xy 147.32 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c21f9c90-ad9e-4be4-99ca-e84008a27a78") + ) + (wire + (pts + (xy 212.09 111.76) (xy 219.71 111.76) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c45a6870-bf10-482f-8952-d4502e9fbe8b") + ) + (wire + (pts + (xy 140.97 101.6) (xy 147.32 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c75fe095-8233-4d38-b079-0d9619ab88ef") + ) + (bus + (pts + (xy 158.75 91.44) (xy 158.75 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c97bf464-354f-4393-a837-bf3901392297") + ) + (wire + (pts + (xy 195.58 144.78) (xy 207.01 144.78) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c9f3a91d-3479-4cb4-9157-1aa3e11a7db5") + ) + (wire + (pts + (xy 331.47 233.68) (xy 347.98 233.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cc3d5f44-34a4-41a1-ae6d-2e5e5e00b2e8") + ) + (bus + (pts + (xy 106.68 144.78) (xy 106.68 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cd2221f8-bc2f-4161-b21d-3ef446ea184f") + ) + (wire + (pts + (xy 323.85 151.13) (xy 336.55 151.13) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cf9f29e8-8522-4885-bbad-1ef5d337d552") + ) + (wire + (pts + (xy 316.23 226.06) (xy 331.47 226.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d00638c3-88e5-40c5-a885-8ca561c08e16") + ) + (bus + (pts + (xy 106.68 91.44) (xy 106.68 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d137d540-3d00-45c3-a0bd-ce2cc2176b37") + ) + (wire + (pts + (xy 259.08 96.52) (xy 267.97 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d3c5f6c8-ff71-413f-b175-a6015e3c4320") + ) + (wire + (pts + (xy 109.22 88.9) (xy 115.57 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d4922eaf-a49f-4ba0-8538-59eb6c2b22b4") + ) + (bus + (pts + (xy 158.75 99.06) (xy 158.75 137.16) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d5584a4d-d723-47b6-80c3-ad9e4b094265") + ) + (bus + (pts + (xy 256.54 88.9) (xy 256.54 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d641dc75-997b-4705-b1ea-c8201233df7e") + ) + (wire + (pts + (xy 259.08 99.06) (xy 267.97 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d70421c9-b528-4111-817d-2cb16be78cd8") + ) + (bus + (pts + (xy 46.99 91.44) (xy 46.99 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d73bc2e8-1236-4a6e-bc04-81930536eca8") + ) + (bus + (pts + (xy 158.75 147.32) (xy 158.75 181.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d8b89e98-d122-434f-96ce-bcb65eb6646a") + ) + (wire + (pts + (xy 88.9 104.14) (xy 93.98 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d9b4853f-2e32-4060-946c-1d9af20b3353") + ) + (wire + (pts + (xy 195.58 99.06) (xy 207.01 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d9cbe019-2a53-4788-b220-3ee352e86ddc") + ) + (bus + (pts + (xy 209.55 101.6) (xy 209.55 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dafcd06f-0f96-4d7e-8f91-6e5fa0558977") + ) + (wire + (pts + (xy 323.85 146.05) (xy 336.55 146.05) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dc87f7a2-87b8-4911-80dc-0d1cd272708d") + ) + (bus + (pts + (xy 106.68 139.7) (xy 106.68 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dd69dd68-9577-4d70-adaa-49086189baca") + ) + (bus + (pts + (xy 149.86 99.06) (xy 149.86 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ddd83331-96c3-40d2-8ca2-043c57ad5ef7") + ) + (bus + (pts + (xy 302.26 86.36) (xy 302.26 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dede9f22-caf6-4244-b745-db6823b26a0e") + ) + (bus + (pts + (xy 256.54 81.28) (xy 256.54 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e04fbd86-fdff-4e73-ba75-88376460a235") + ) + (wire + (pts + (xy 49.53 99.06) (xy 58.42 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e07aeb4c-261f-45a0-bdaa-97637bd28e9a") + ) + (wire + (pts + (xy 293.37 148.59) (xy 303.53 148.59) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e18fd9d1-e325-424b-8769-1e9bca2cf8a7") + ) + (wire + (pts + (xy 323.85 153.67) (xy 336.55 153.67) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e1cc64df-0d45-49a4-8372-7bb2dd99a92e") + ) + (bus + (pts + (xy 209.55 96.52) (xy 209.55 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e30cd517-7d26-42c0-b2ff-45f022a40944") + ) + (wire + (pts + (xy 293.37 99.06) (xy 299.72 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e3186c27-e3f0-4186-aa71-29c527c2f711") + ) + (wire + (pts + (xy 212.09 86.36) (xy 219.71 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e4a721c8-272e-4ad7-9a0b-52af90f3b099") + ) + (wire + (pts + (xy 212.09 101.6) (xy 219.71 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e51d228b-a3fe-4f1f-ac23-b5aad1538867") + ) + (bus + (pts + (xy 302.26 93.98) (xy 302.26 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e6f009b9-10f7-4733-9520-a76d8bb012bc") + ) + (bus + (pts + (xy 209.55 147.32) (xy 209.55 149.86) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e74fdbdf-f1c2-4459-8c10-6eb5ef5bbd41") + ) + (wire + (pts + (xy 331.47 226.06) (xy 347.98 226.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ea1f3c3e-3042-4f04-9e9d-8bbb3446e189") + ) + (wire + (pts + (xy 161.29 139.7) (xy 170.18 139.7) + ) + (stroke + (width 0) + (type default) + ) + (uuid "eba2db3b-935e-4ff0-b505-55301e1ce5a4") + ) + (wire + (pts + (xy 140.97 93.98) (xy 147.32 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ec6d213f-9ad5-4fe0-bcea-05dd50d6c382") + ) + (wire + (pts + (xy 377.19 233.68) (xy 389.89 233.68) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ee45e49a-3f5c-4ea5-82de-ccc33c50beab") + ) + (wire + (pts + (xy 300.99 226.06) (xy 316.23 226.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "eeff02c7-ed40-47da-a1a0-ad6e0deb0df2") + ) + (wire + (pts + (xy 88.9 111.76) (xy 93.98 111.76) + ) + (stroke + (width 0) + (type default) + ) + (uuid "efaa66da-1a72-4ad9-b6be-aba0fa0015c0") + ) + (wire + (pts + (xy 245.11 96.52) (xy 254 96.52) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f0aeaaf9-d16e-4026-b197-52e63fd25315") + ) + (wire + (pts + (xy 259.08 91.44) (xy 267.97 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f1071534-e754-456a-92eb-1b31892daf20") + ) + (wire + (pts + (xy 109.22 93.98) (xy 115.57 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f1df670d-4857-475d-bd01-d2e71d886ad4") + ) + (wire + (pts + (xy 49.53 93.98) (xy 58.42 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f35fbe86-7b59-4820-8b04-15175befb056") + ) + (bus + (pts + (xy 96.52 91.44) (xy 96.52 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f544f7a6-9d6c-4855-b5d1-34340bea8a54") + ) + (bus + (pts + (xy 209.55 81.28) (xy 209.55 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f5afece9-490a-4783-9823-c56958a64329") + ) + (wire + (pts + (xy 293.37 140.97) (xy 303.53 140.97) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f6471bb0-b968-4437-8ed2-e06ce20f1be4") + ) + (wire + (pts + (xy 195.58 93.98) (xy 207.01 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f6e59416-b133-4e29-8dda-92742999bf0f") + ) + (bus + (pts + (xy 209.55 83.82) (xy 209.55 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f7df4ff2-26ea-4f74-8203-6d48d260efdd") + ) + (bus + (pts + (xy 302.26 96.52) (xy 302.26 99.06) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f82d63ef-f300-412b-ae1d-2d2a18f7d1ec") + ) + (bus + (pts + (xy 209.55 109.22) (xy 209.55 111.76) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f8697502-ceea-4146-8001-87175dcd1c25") + ) + (wire + (pts + (xy 212.09 93.98) (xy 219.71 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f9d5fdbc-4df6-448e-a006-7ea3b0ac5e77") + ) + (wire + (pts + (xy 195.58 139.7) (xy 207.01 139.7) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fa32ae9b-54f3-4c00-ade3-d7576bb4ba0f") + ) + (wire + (pts + (xy 293.37 93.98) (xy 299.72 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fa506cc3-c9c0-47f2-9642-82b95ddeab03") + ) + (bus + (pts + (xy 256.54 101.6) (xy 256.54 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fb22c7c7-faf9-4289-9cf9-1a247ff7aa48") + ) + (bus + (pts + (xy 149.86 96.52) (xy 149.86 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fbfb7954-e1ac-4568-b726-1a47c6caeb14") + ) + (wire + (pts + (xy 293.37 86.36) (xy 299.72 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fc48ce2d-c28a-44b7-817f-aa9030b26a96") + ) + (wire + (pts + (xy 195.58 83.82) (xy 207.01 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fd4da7e8-dc0e-45eb-be0c-6679a540eeac") + ) + (bus + (pts + (xy 302.26 91.44) (xy 302.26 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fdededb1-5eee-4140-868b-3807e2bc56df") + ) + (wire + (pts + (xy 212.09 104.14) (xy 219.71 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fe5dca4c-bf2a-4def-8bf0-292ae3be74b3") + ) + (bus + (pts + (xy 106.68 81.28) (xy 106.68 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ff0a811b-d8b7-4142-8358-67b1cd4afc56") + ) + (image + (at 482.6 78.105) + (uuid "36e2bd22-27b1-470e-be30-30e836a3346a") + (data "iVBORw0KGgoAAAANSUhEUgAABSIAAAK/CAIAAADyFSRjAAAAA3NCSVQICAjb4U/gAAAgAElEQVR4" + "nOydZ3gU173wZ7YX7a56W1XUhTpIYAFCMmBaDAYSMBA7JrZxicHOY9yeGCfX17kmjq/jXCdxw3Zc" + "wA2IgwumiI5ACCSBGuq97KpsbzO7M++H/6vzTFbSWhICSXB+H3jE7syZM2fOnD3/TrIsS2AwEw1F" + "Uc3Nzf7+/r6+viRJTnZ3MBh3WJZlGIZhGD6fT5LkSLOUZdnxTWCWZeFciqIoipJIJEKh8Pq6jMFM" + "Gi6Xi6ZpoVDI4/Guf0m3Wq0ul0smk/H5/AnpHuaWh6ZpgUBwS24n0I/FLXl3GMwkAm+Ww+FgWVYs" + "Fo/vFbNarUKhcExbOHipeTwebxzXw2CAYXU08KHT6fTy8pJKpTe9U5ibwa2hnuPz+QaDobe3l6Zp" + "D4eN72bJQSiKAqHi1hg0zLDc8g+Xz+dLJBLQSV1POzBQDofD4XDglwIzeoRC4a0qhZIkiXfjPwnI" + "LZPdC8w0AxYNkUg0PhkbppxOp6MoakzTD2nNSDxrMePG5XJNiGUDM+1gGIYgiGm9M4Clr7m5mabp" + "8PBwqVQ67Ew2mUwCgUAikYx7gR7liT9pNh+3XR2DmRDwDMRgbhAMwzgcjvH90GAwGM9YLBaGYeRy" + "+Vh3rfCrZ7FYRCLR+BwSp/EuGTO50DTd09NjtVpHOgBrcG5J4LH29vbqdDqXy3XzOwCe3tffDuga" + "Z8yYkZCQIJPJhm5u4E6tViu4G43jEjabjabpUergf3J3hbdfmMkFZuCELOz412F84HG7VaFpemBg" + "wOl04keMwUwg8ELZbDa73T4Ohwj41ZPL5eMO+hOM7zTM7QxodxiG6evrEwqFw4ooBJYKbl1Ylu3v" + "75dKpQqFAoVWjslyez0YjUYej+fl5TUhtnQPBjr4PCgoaNyNm0wmqVQ6Sl9HcFz3EHxI0zSPx8P+" + "I5hJ5/rN2ngOYzBcBALBOExtGAzGM/Bb4+/vfz2NXM9P3m3hNH7TBIDbDTBm4n3/bQVSshD/6TRu" + "s9mcTucNzWkEl+7v7+fz+VwJf8ricDh4PN5PKkHhvvR6PcuyXl5eIx3f19cnEokmSr+AwYwPu90O" + "sxov+zcfi8UilUrxCoDBYDDTgttisQYv09tBoXCT4fP5158RBzNd4KqrwKzK/dzhcNjt9hvq8waX" + "9vPz8/b2nsoyNsMwkNtJLBaP3tEI3iYejzd0AOEToVB4qybaxUwLYB6aTCar1Yp/TyeF/v5+p9M5" + "2b3A3BBusXdqrCmjMJhbklvcmg1mou7uboqiAgMDcXqJiQVnxLnFYBjGc2krghOfOVmPfuo7p1it" + "VqvVqlAoRCIRMbW7irmZTN8FE3oO/9psNh6PJxKJhk1nME1vcLpgMpmwazFmWtDd3e3v74/LWGIm" + "ncn9YbrFF2uId+/u7u7s7HQ4HMQ01BdO5Q7jHdUthslkgiwRw34LCb3g72F32Ddnrk7l4qJIBTAO" + "Y/tPDuAtUM5kuvf/+pmmI/D/C5OQJEEQUql0pMooE/tiTkimw1sMhUKBZWzMtABP1PEBaVMnuxe3" + "FJM7nre4NRuw2Wx8Pn+axpJ5NjBiMBMCaPs0Go1EIlEqlW7zDb7t6emRyWQKhQLPxtFDURRxS1d8" + "HRO3QB24ccMwjNlsFovFYrF4lKdMHeMwRVEQ1EB4dCehKIokyYkKbTAajUql8vrbuZWYOlMCg8Hc" + "COrr68PCwqRS6WR35BbB5XKZTKZJzOZzW2x3pFLpsB5u04Le3l6z2Xw7aEMwkwjKqq1SqYa+KZDz" + "zNvbWy6XT9P3aKxczxvHDkIQhMlkgoKNE9e1aQmMRl9f382pAzcFLf8sy1qt1rEmL5gid2EwGOx2" + "O/w9rNp3Ymc7tDZF7n1KcZssv5hbAPz+jhUYMb1ej9wGMdcPiNmQLmdSOnBbiNlTcMs1SliW7evr" + "u0FiNk3Tk1L3GDNlGWmaMQyj0+kIgkDqQIZhKIrC0uOwcOUQkUg0fXV8EwvLsgMDAwaD4ebUhp1q" + "aS/5fH5QUNCYFFVmsxm8IX4Sl8t1Q10NRSLRaEwBIpFoQhw3oAWVSnWd7WAwmMkC/+qNFRix7Oxs" + "7MUzgQiFwrCwsEncht0WTuPTFHAPc7lcDMNMbIZhaLmurk6pVAYGBt6ePpyY0eNyufr6+hQKhVQq" + "hXlot9vBD2ekKM1pDcuydrtdIBBMevqWKe4jChrM0US1oNWMuMElAOFCRqNRr9f7+fnJZLKpPICe" + "6enpkcvlCoXiJ4+0WCw2mw3eR89HTqMopCk++TEYDGbCweveLYZgsjtwi3Ajsh9DaygibmJhWVaj" + "0RAE4efnh8VsjGfAEAd/I7HqFi4uxTCMwWBQKBTXf4/XuTJM8RG22+0URclksp/UR6DV7Cb0imVZ" + "g8HQ1NTE5/NlMtmU2rWMqTOBgYGj1F+g99HzfAP3OYlEIpFIhj0AXACG6kFGOY0n9ndw6jw1DAaD" + "uTngde8WA1uzJ4YbV2RorHvE0ffkdk5HhBkrU7+M1gTCMIzNZhMKhZOeugw8gQUCwc15T4f9ORh2" + "BJDR2OFwKJXKUab1clvNRlrc3MJ8ftL6OrTUHEVRBoPBy8vrOhPJcHviISbZDQ+9dbuv0Xdj9O27" + "varcg2maHhgY8PLyksvlQ08kSVKv1xuNRvACGFMPx8ro7wiDwWAwmGkKFrMnBofDwTCMWCyedKnV" + "ZrM5nU6ZTDZZWfUwtwNc2ePmXG4keQYJVx76w40h55YmQo17OHeoX/SwnRkqg3lICfGTciN0GHK/" + "2+32wMBA5K4/sYw1b8VIoiYS7cCg6kH4RKeD4ZQkSbc1E907+mPYw4b2361v7GCpZ27fRn+n3Ja5" + "6oCfnAluh7kdA2UvoKD6sMd76IaHg1mWRWpTdL8j3Th6HbhDCgf39PQMDAyo1WqVSjWaifGTT/w6" + "b4oYnc5iNHqHkS43Umc8NzvsVTzPsdFoWLhTbtL3EgT2X8VgMJjrAzuNXy/wOwQlhSe3bBj0xOFw" + "0DQNAv/oTUAYzJi4mdPGw/6VHcxIjISxoQfA5wzDoBwHSH7zfFH0t5tkxd2gQ6QryDZuUa/wt8vl" + "cjqd3M+5L+ZIMrzL5eLz+W4CzA3a8tI0TVEUXAUJYOgG+Xw+OBi7XC4ejycQCAjO0KG+OZ1OgiDA" + "dZxlWUivCHcKt8Dj8WBtRHfBsqzT6YRjuA26XC673W632zUaDUmSEonE29vb29t72NsnSdJutzsc" + "DqFQKJFIkG6RZVmKosALADKujWllhvuiKMrhcPT394OBV6VSSSQS7gg4HA6Hw+EmpEEaMDgMDQ4E" + "pbMsazabJRIJDLhIJAJRiiTJYb3uoWWn02m32+HRsCwrEAhkMtnQ5Z0kSYqizGZzb2+vSCRSKpUw" + "JkKhkHswwzAwIAKBwE19QBCEn5+fn58fek3gsY4EzAd4vkPT2qHJ4Kb7gE/sdjucAnNDKpXCsxur" + "pO0ZDxoWrog+7AozmlWC2xRScAx7ALrE0BfNbW3hakmG7T8atJH6g3o+dDCH9tBDO9zVddg7GulE" + "bssj9XZoCx46PNITnCLKCAwGgxkJLGZPDBABOCnRqmhzD5f29vYe5YlYwMZMCxiGMZlMqJ4QgmVZ" + "qVQK5RBNJpPZbAZvWO72jiAIiqKMRmNPT4/JZAoJCVEqlVKplGscdjgcBoOBx+OpVCpkZkSiIJQy" + "grNIknQ6nUajkaZpkiRpmtZoNEqlElJPyWQyrpXSaDTabLaOjo7e3l6IXgZhz8vLKywszMvLC1qw" + "2WxQcZAYlNsdDgfEFUdERAQHB9+g95Qd9PouKSmpra11OBwEQVgsFqh7IZfLWZa1Wq2+vr55eXlO" + "pxPuNDEx0d/f361LZrP5ypUrLpcrJSXFz8+vq6urqqpKq9V6eXlJJBKr1Wq32729vePj48PDw8G9" + "nGXZ+vr6xsbGsLCw+Ph4kORhQCorK4uLi+vr66urq3k8npeXV0ZGxooVKxISEiQSidulbTbbjz/+" + "WFFRERISctddd0VERKADwGmcpukrV67Y7fa0tLSgoKBRDqbT6ezv7y8uLq6tra2oqOjp6YmJiZkx" + "Y8bcuXPT09OhdDzDMCdOnCgtLYVi0XAiSZIREREpKSmRkZE+Pj5CodBgMFRVVbW2tvL5fJqmpVKp" + "WCxubGy02WzR0dFKpZKmaX9//+Tk5KG19FiWNRqNpaWlpaWlJpMJLurv75+Xl5eQkICcp0Cv0dPT" + "U1JScvHixerqaqlUGhERoVQqU1NT586dy73x9vb2uro6mqYTExPDw8O54r3D4SgrK3M4HDNnzvTx" + "8amqqurs7ITfl2GHSK1Wx8fHy+Xyzs7O+vp6m82G3hqBQBAREREQEOCWlQ3eIJ1Od/z48Y6ODqfT" + "abPZfHx85syZk5iYqFQquYoSs9nscrncXiu3p28ymaRSqZeX10jODiaTyWazDf1cIpF4eXkJhUK7" + "3d7V1SUQCMRiMRIs+Xy+VCoFJYWHeQJARXSbzSaTyYbtCcMwdrsdlfZAWhiuXwPLsjabzWq1wgjY" + "7XZYNEiSdEszSVGUy+USi8XDOqwhJRfEvHC/gveLW15kpIGFdqxWK0VRcrl8qIoKKk14OJfH44EW" + "Cd6OoS0gPQJFUaCvGVaJhjQUI13oBikfMRgMZkLAYvb1Aku8h5+rG43BYJBIJCgE8TrV/xjM1AG2" + "UF1dXV9++WVdXR37nxZmhmFSUlLWrl0bHBx84MCBCxcuLFq0aMmSJSAFQXau/v7+ysrKQ4cONTU1" + "6XS66OjokJCQ9PT0/Pz8sLAwsBgXFxd/9913PB5v06ZNqamp3F2yyWTas2fPlStX1q1bt3DhQolE" + "UldXt3///o6ODjAeNjU1BQQEeHt7q9Xq3NzcOXPmID3XN998U1xcDLKKl5cXbOJFIpFarX7qqafm" + "zJkDlltuEDjLsk1NTefPn//Xv/5FEMRTTz11xx13wAZ0wt9ohmF4PF59ff2uXbuKiorcrLJIXpLJ" + "ZKtWreLz+ZcvXxaLxQ899ND69eu5krbVat23b98777wjEon++7//Oz8//9SpU3/961+bm5tBfQAP" + "QqFQzJ49+9e//vX8+fPlcrnZbH7nnXcOHjy4Zs2a7du3h4WFEQTB4/GuXr26a9eu48eP6/V61JmD" + "Bw+ePn16x44d8+bNQ5I2iH9lZWW7du0qKSkJCgri8/kbN25EB4AJt6mp6dVXX21paXn++efXr18/" + "VFB3gx2swrBnz559+/a1trZCCdPCwkKCILKzs3/7298uW7ZMpVLZbLb333//u+++czP5+vn5JSUl" + "LViw4L777ktISDh37twbb7xRVVVFkiQIjXw+HyzkISEhUqmUoqi0tLQXX3wRpgQaeYIgOjo6vvrq" + "q71799bV1VmtVmhfLpfn5eU99thjCxYsgKlOEERpaenevXv//e9/d3R0cJ9jTEzM1q1b77vvPpTC" + "cO/evXv27LFarYsXL37iiSdSUlJ4PB4MZkNDw65du2w220svvRQREfHmm2+eOXNm2KKPILClp6f/" + "7ne/S0hI+OCDDw4cOGA2m9EYCoXChISEpKSkNWvWZGVloWIqNE1XV1fv379/z549zc3NcLxUKs3K" + "ylq5cuXq1atjY2NBBLXZbPv27evo6FiyZElWVpabnAb3ePTo0RMnTqSnp69cuTIgIID4Tz8LkiT7" + "+vo+//zz6upqt7ARhmHi4uJ+/vOfx8TEFBcX79mzB4RkGAeGYeRyeUxMzMKFCxMTEz3kHYCrtLa2" + "7t+/v76+fu7cuStXrgwMDCQ4bxBBEE1NTWfPnu3o6DCZTAzDhIaG+vn5sSwbHh6elZUFKwbLsgcP" + "HiwuLpZIJDwer7e3Ny4uLiAgQCaT5eTkhIeHI6H67NmzbW1tBQUF4eHhwyarO3fuXEtLS1ZWVkZG" + "Bvcri8Vy4cKFrq4u1PPU1NTk5OShuxeQ1fft21dbW7tq1arMzEy3cjgNDQ2gvRp2brhcLpVKdeed" + "d6pUqpMnT4rF4jlz5ri9ehRFtbS0WK3W2tpa0ApFRkaqVCru8kvTdHNzs9VqDQ0N9ff3d9Nf9Pb2" + "arXa6OjoaV1NAIPB3OKwmIkA4gwnhfb2dr1eP4kdwGBuEE6nk2GY7777Li0tbdjlKzk5+fDhwx0d" + "HYsXLyZJ8sknn2xvb4ez9Hp9R0fHa6+9FhcX53ZWeHj466+/3tvbC968O3bsCAgIkMvl77//PhQD" + "Z1kWCumVl5fn5uYSBPHKK6/o9XqWZd9+++0ZM2YM25k77rjj1KlT6E289957h92gBwcH79u3D5zY" + "0Z3C31ar9aWXXgoNDYUjX3/9dYvFcoNebRilkydPzpo1C4p7C4VCsVgsFoulUilI/mKxWCAQZGRk" + "/OIXv4iKiiIIYtasWSdOnIDOwxBVVlbOnz+fIIikpKTvv/+eYZi///3v0dHR3LRtaBO8efPma9eu" + "sSzb0tJSUFBAEMQDDzxQV1fndDph5F955RW1Wi2VSuVyuUwmk0qlMpkMrHmPP/54a2srXBT673K5" + "du/eHR8f7+XlJRKJnnvuOY1Ggw4Azp49m52dTRDECy+8oNVq3YZ9KHDASy+9FBISIhAIoAPQE7Ae" + "33333aWlpSzL9vX1rVq1Cn0LIAuzr6/vZ599xrLsa6+9Fh4eDqOBxsGtmvrcuXNh5qC+wV3s3r07" + "Li6OJEmRSATtg2mXJEkYSRgEiqIeffRRHx8f1GF0sEAgSEhI+O6776BBiqLQtJTL5a+//rrZbAbn" + "cHjR0tPT09PTjxw5cuHChblz53qWXiIiIg4cOFBbW7tw4cKRjtmxY0d3d7fL5YIOdHd3P/roo/7+" + "/jDB4L5Arvby8nr55Zf7+vqgM21tbUuWLCEI4tlnn3V7rGgC/PKXv5TJZEuWLLlw4QIMhdv0Pn36" + "NDz9oURHR//rX/9iWfbFF19EOggucrl8x44dHR0dbjOKC3z1t7/9LTo6miCI/Pz8c+fOcXsCf7/3" + "3nvcVUgkEoHjDPd4nU6XmZnJjTUQCAReXl7BwcF/+tOf9Ho98rG/6667pFIpqAa4twydNBgMBQUF" + "Uql0586d3NFgWbakpCQ3N1elUqlUKqVSqVKp7r///p6eHre7g//29fUlJSXxeLxnn30WPRR0wI4d" + "O4KDg6EdN1QqlVgsjoyMLCkpcblc+fn5BQUFLS0tqKvQTnd39xNPPLFmzRp/f//Y2Ni1a9cePXoU" + "3REco9Fotm/fvnbt2q+++go52sCwsyz7xRdfbN68ubKy0sMDwmAwmMllGGs2i51wxs4kjhgYgqYg" + "EKw+FUKnYEp3d3dLpVKlUjkVuoQZDfBalZeX9/f3kySZlpYWHh4eGRmpVCoJgqAoKisrKzMzU6VS" + "RUVFqVQqVP2OZVmHw/G73/3u4MGDBEGsWLEiLi5OLpc7HI6zZ8/W1NQ8//zz3d3dv/3tb4ODg/v7" + "+ymK8vX1hahUbgdMJhNN0zweD1IeEINRgkKhcP78+WCmY1n22rVrly5dKikpeeihh15//fWVK1eC" + "t7NEIgkNDc3Pzw8ODoZJ6HQ6fXx8UlJSiP+0vBEE8fXXX7/66qv19fUOhwOFcbIjh0deJyD15eTk" + "7N27F7xqRSLRP/7xj6+//tpkMt13331btmzx8fFxuVy+vr5BQUHvv//+X/7yl7Kysj//+c9yuTwr" + "K4sgCJvN9t577127di06Ovr3v//9okWLUMsikeixxx7bsGGDXC6vqKg4evTo4cOH9+zZQ5Lkiy++" + "6O3trVAoRCKRXq8HOy2fz//www8//vhjh8Px6KOPPvHEEyC6dHZ2vvbaa/v379+7d29MTMzDDz/s" + "5eUFpviqqqq9e/e2trZKpVKXy/Xvf/87Nzd3+fLl8BBRcDgMHXgueB4T2Ky///77e/bs6e/vX7Ro" + "0YMPPpibmxsYGGixWD766KP33nvv+PHj+fn5CQkJxKBRNzU19aWXXkpMTLTZbDwe74svvvjss8/a" + "29sPHTo0e/bsJ598ct26dcjl+6233jp48CBN048//vi6devEYjGkDFAoFBRFgV0RbKpFRUUffvhh" + "S0tLfHz8Y489tnHjxoCAgIGBgf/5n//54osvvvrqq4iIiKeeekqlUu3cufPAgQMwbk8//TR4ztts" + "tr/97W8ffvhhc3PzK6+8IhQK8/Pz4bkIBAKXy2W1Wk+ePLlw4cLMzEy4IugCINn4okWLvvnmm4qK" + "CoiG+PDDD/fv36/RaFasWJGfn5+dnW00GnU63cyZM9FLN3/+/E2bNuXk5JjN5tOnT//www81NTUf" + "fPBBdHT0li1bpFJpS0vLtm3bjh49KpPJ1q9ff//998+cOVMgEJw+ffqtt94qKyt78803xWLx1q1b" + "vb294VkQBNHb22symfz8/NweH+QvAFM8O0KcMEoLFxERERgYiCLhWZadOXNmZGSkw+EYGBigKEqp" + "VM6bNy89Pd1sNjc0NLS2tnZ1db3xxhs2m+3ZZ58NDw8f6R202WyVlZXgfFFSUnL69OmUlBS3Uucg" + "B8pksvDwcG9vb1hPOjs7z549+/DDD//ud79bs2aNRqPp7+93Op1SqTQuLg4stK2trX19fc8991xH" + "R8fOnTsDAgIOHz5cWVlps9n2799fUFAQGBjIcjwgSJLU6XRtbW3gow5XZ1lWp9M9/PDDx44dc7lc" + "wcHBgYGBLpers7Pz888/P3z48NNPP71161aFQsENt3n++ee7urr4fP6f//xntVq9ZcsWuVxODsax" + "w1yFhAuQv4CmaXipCYJQKBTgfVBbW9va2trS0nL48OHNmzeDQbu7u/t///d/P/74Y4vFAm72Doej" + "sLDwm2++8fPze+ONN9auXQvu9O+9997evXsNBsM333zz5JNPvvDCCzANeDye0Wh85ZVXqqur77vv" + "vvj4+NG83dMUiqLsdvtIYREYDGYqU1xcPLzTOJa0MdePwWAAU89kd+T/yzMhISGT3RHs0j82YCFK" + "SEhQqVRarfa+++7bunWrl5cX9xiSJPv7+3U6HUVREMINMtj3339fVFRktVqXLVv21VdfoTDFH374" + "4eWXX7527VpZWVl7e3twcLCXl5dAIKAoymazwbnE4DOKiory9fXl8XiNjY19fX3+/v6Q9FupVD7y" + "yCPr16+HNm02244dO7788kuNRtPY2Gi1WiH0miCIsLCwhx566I477nDr89DbbGhosNvtM2fO1Ol0" + "7e3tECx944A+wJ4e9WHu3LnHjh3j8/nLli3Lzc3l9nPDhg1XrlwZGBgoLi4+evRoVFSUv7//+fPn" + "z5w5Y7fb77333pycHJKTXs7LyysnJ2f27NkMwyQmJhYUFLS3t3d1ddXU1HR2dgYGBoKpvL6+XqPR" + "pKWlWa3WEydOdHd3z58/f/PmzZGRkdArtVr9wAMPQLw61+rIsuzhw4cbGxsVCsX8+fPr6upaWlqK" + "iopycnKCgoJGkrs8wLIsj8czGAyFhYVdXV0BAQEPPvjgunXrYBBUKtVjjz1mt9tPnjwZGRkJAh7I" + "8DExMbGxsTCMJEkqFIoLFy50dHSUlpY2NzcnJCSAvgBE2dTU1OPHj7tcrtmzZ4OqAozMIpGIG2rL" + "suzFixc7OztVKtUjjzzywAMPKBQKlmV9fX23b99us9mKiooCAwP5fH5LS0tZWdnAwEBKSsrq1auj" + "oqLg3qVS6TPPPGM0Gnfv3n3hwoXTp09nZWWh/OESiYRhmAsXLhQWFkKIODEoX+n1eq1WS1FUYGDg" + "okWL2MEMgmVlZRqNJiMj44EHHvD19SVJsrm5WSQSodD05OTk5cuXq9VqHo+3cOHClJSU3//+9xUV" + "FTU1NX19feHh4YWFhbW1tS6Xq6Cg4JlnngEXFZZlf/7zn/N4vP/6r/+qrKw8e/bskiVLMjMzxz6j" + "R4RhmI0bN27fvl2hULhcLpAVJRIJKDXgjZbL5Y8//vjKlSvh+DNnzuzcufPixYuXL19uamqCmxra" + "LEmS5eXl5eXlVqtVJpPZbLbCwsL8/PycnBziP2uqkSQJ5vFf/OIXIpFIo9G8/fbbe/furamp+frr" + "r2fOnAkKO4IgAgIC3n777ZSUFKFQ+O677+7evbu+vv7IkSO/+c1v/P390dV9fX1HU0wE2vzkk0/O" + "nTtHUdTs2bMff/zxn/3sZ1ar9aOPPtq9e3dHR8eePXvWrVuH5gCPx2traysuLqYoSiaTWSyWwsLC" + "e+65B37KYT5s3749NDSUZdng4ODW1ta3335bq9XOnDlzw4YNEomEpunQ0ND4+Pjq6mq33Hh2u/3N" + "N9/8+OOPnU5nZmbmrFmz0tPTGYY5derU6dOnNRrN3/72t5kzZyYlJaFTILfcl19++bOf/WzBggVu" + "mtBbGKQ36erqguAFLGljMNMFeH9ramrcFyyWZXt7e8FhDwsDmGGB3dhPxosil4kpMpGmQk9QUplJ" + "DOafdoAEggy83ChiMKdIJBLI03Pt2rWenp6oqCiz2VxYWKjVahMTE7du3QpWR9gW5+fnt7a2njx5" + "MiMjIzg4mM/nq9VqmUwGSYO4MwSCDMFYp9VqTSYTQRC+vr7gwwxGBoIgGIbh8/ne3t5CoRBMfGB8" + "gEbAXdZms7GDSXHBojh0w7R06VKlUrlw4cIPP/zw008/RcaoGwo76CYNdwqjZLPZent7bTYbbP1h" + "X+vr63vfffdVV1dfvHhx79696enpOTk5+/bta29vT01NXb9+fWRkJMgw0DLkcoOU2rBxN5vNLMtC" + "UQaj0djf3w/CCYxnfX19S0sLBCoHBwdDIzwez+VyZWRkvPPOOzabTSAQgLqEx+PpdLorV67o9fr4" + "+Pgnn3zy22+//eSTT44ePbp48eKAgIChw+twOIYNM3YbjZ6eHq1Wa7PZ4uPj1Wo1TdMCgYDP5zMM" + "IxQKn3vuuSeeeEIkEonFYnABYFnWYrFYrVZ4Xi6Xq7m52Ww28/n8yMhIf39/txEG51sAEq3b7fb+" + "/n4fHx+kmgFpv6qqymg0JiYmzpo1SyqVsiwL3YiIiHj11Vc7OjqCgsy6vMAAACAASURBVIL8/PyO" + "Hj3a2dnpdDrnzp0bExODbPhgQY2KilIqlb29ve3t7QMDAyBKEQQxc+ZMyCywZ8+euLi4pUuXikQi" + "KEvp7e0dEhICZnbwzoWnwA4mFIQUXARBhISECIXCuro6yIZF0zTMH/BjCggIgKyBsOiB04der/f3" + "91+7dm1SUhIcBoOWn58PIeiXL1+uq6vLyMhArhwTAjwyFB4MKwmMFTwLmDCg2xIKhXPnzk1JSamq" + "qhIIBCPJdSzLOp1OyOWWmJjo6+tbU1Nz+fLlsrKyjIwMyOo3tBsQAR4REfHMM89UVVX19PR0dXX1" + "9/cjLbBarYbxZ1l2+/btLpdr165ddrsdXh/UlEajGc0SAXLap59+ajQaY2Ji3njjjczMTJZlvby8" + "XnjhBbvdDqENXJM4y7KffPJJd3d3WFhYTEzMhQsXzpw5U11dHRwczOPxYKBiYmKeffZZ1JOvv/66" + "t7c3LS1tw4YNECTPDuaT5/aZz+efPn167969er1+wYIFH374YVRUFFx369atL7zwwu7du2F5ee65" + "59AAguauq6vrrbfemjFjBjdM/XZAJpMFBARMSnpdDAYzbuCF/dWvfuX++8EwTFFRUUJCQkJCAn6r" + "McPicDggD6pnWRF+bqcOkzufYbfR19dnMBgiIyNBWpisLoEj9E+WfJsiIH1NX1+fTqfz9fVlByvf" + "QJSpTCbz8/MDKRfSHdfV1TU3N1sslmXLlkHEI9pECoXCRx555NFHH0Ve2RAQaDabIQASHg3svBsa" + "Gnp6ehwOBxqr6upqnU7ndDo7OjogJ4LJZOrr67t69ardbg8NDY2LiwPPSXjiBoOhvr4eUijDFYVC" + "YWhoKNetFHau4ADvwQN22JEZ/TAO+6xJkgQ9AsgS9fX1BoNBr9fDPYL9Cg3dvHnzNm7cqNVqGxoa" + "Dh06VFZWduLECYIgfvazn8XHx3M7AxJIU1NTVVWVWCy22+0ffPBBY2OjQCAAj2sQmAUCwcDAAOgv" + "LBYLRVH+/v6pqalgp4Wm+Hw+qCpQvmW40KlTp8rLy20229y5cyMjI3Nycn788cfm5ubS0tLZs2er" + "VCq3O4W54UHXBl81NzcbjUaCIGJjY4ODg1GEOarZBgpo5JYCpxw/frytrY0gCHBwbWxsDAkJAWES" + "jTBvEDgLNEQEQcjlchD/SE5qt5aWltraWr1eD8ssir4BsVChUCQnJ4PEDrIrQRAKhQIKfaEnKxAI" + "cnJyQkJCGhsbGxoaNBpNZGQkXGjWrFlhYWGffvppZWXlvn37kpKSZsyYYbfbXS4XxI2jKmgwLMgp" + "F4pWwgsFvero6IDkZz09PbW1teA5b7FYfvjhB41GExAQkJqaCmZYo9FIUVRiYqJarUbuACDGK5XK" + "iIgIhUIB8qTnQmLD4jlGSaPR1NXVRUdHQ65QlmUhGQH39P7+frPZLBaLIf1+ZWUlTdPx8fHDJqgH" + "rUd9fX1xcbHRaNyyZcuaNWt+97vfHTt2DHIxxsbGjjTTQG3R09MD8cbh4eGgjoHj4+PjIfwedEmQ" + "UIDH48H8h+zooDf0/PpDnnY+n19WVtbb2+twONavXx8fHw+PGLQwW7du9fHxgfWTGDRl9/f3Hzx4" + "0Gg0rl+/fuvWrevWrWtqajp27Njs2bPBi4EgCKhTyDAMTBXobWhoKJ/PhxWYZVmpVDr0cXz++edW" + "q1UgEDz44INBQUEQIAOD+fjjj3/77bcmk+ngwYO//vWvIUscMZjIwGQyHTp0aNWqVRs2bJgKLnI3" + "ARhqhULhFoOAwWCmCwzDuIvZJEkGBQXJ5fJJ6RBmioMcCCmKkkgknu3D2EGaC4xDRETEaBwBbhxw" + "dY1GIxQKfX19R1OuZtKB4XK5XN9++63T6QRnRdicZWVlZWVlQbAuQRBonw1JfYVCYXBwsFKpJAer" + "HFutVoPBYDabZTKZQqGA8OmIiAi5XG6z2bhGEnKwjDBY5BQKBVhXwsPDvby8mpqaPv74Y6jiU1NT" + "09bW1tnZKRAI7rnnnnnz5qGaVQRB1NbWvv7666Bygu11QEDA008/fccdd7jNAZfLRdO0UChUqVSw" + "k/5JbxG3v8n/rErNvZGfBE7MyMjw8/OD8RlqxGMY5uGHH25pafnkk08+++wzoVDodDrvvvvutWvX" + "BgQEoAvBpfV6/QcffHDkyBGpVKrT6VpbW81mc0xMzOLFi8PDw/V6PRIY0NMBvwBYYbhrCzxW7ovj" + "cDguXLjQ29urVqsLCgpAbxUdHd3Q0LB///6cnJy8vDy3zqtUKlSOYdjbh24jMXtoIWhysEA6/A0F" + "vaVS6bVr11588UWYeMxgrem1a9cuWrRopCuiyyG/UJFIBGnDyUEfdYVCAaZUtwLUIGnDVbgCsJv3" + "EPzr6+urVCqhHW40RE1NzYIFC9LS0rq7u8+cOVNcXBwdHe3j4wMmX7FYbLFYnE4nUg+NpKMhCEIu" + "l8NUKSoq6unpgVO0Wm17e7vNZrv//vvvvvtupVKJ9EfgHcBtxOVyCQSC1NRUHx8fnU43Ps9YiFEa" + "dkHj8XhHjhxpamqaPXs2JMlnGCYhIWHOnDkg3xIEodPpvvjii7q6uoCAAK1W+/3339fW1qrV6mXL" + "ls2YMYM7AiAZCgQCp9O5Z8+eS5cueXl5xcTEzJw5Mz09vbS0tKioqKysLDIy0k0NzTBMU1NTcXEx" + "uDAcO3YM0grExsYGBgbCegWjUVFRARnpz58//9FHHw0MDCQnJ8P0CA8PhxKGP1nvury8HP6LBPIZ" + "M2Ygkz6fz2dZFqoeEBxVGkmSJ0+e7OjoIAhizpw58fHxMTExXV1dBw8e3LJli6+vL7QJmiM4Hrkt" + "gDoSKo2Btgg5IqGha25uttlsERERaWlp3EL3YOHPzMyECogDAwPMYCU5Ly+vpUuXHjt2TKvV7tmz" + "Jy8vD57IOCbJdAReauwujsFMR/h8vvtGisfj/WR+UcxtC0yMUUZc41k0lEkUsBHg5gpOiVPBkX70" + "gF8x2nAwDPPrX/86OjoaJe9BHhZgbQN3UGJw+1hSUnLy5Mna2lqtVhsSEpKYmLh27dr4+Pienh6r" + "1YoOBuCU3t5eq9XKsmxISAgYSGFryOPxWlpa/u///g8Oo2maoqjU1NQVK1ZAtiRuJyGHk91uFwqF" + "UCgbvM3dBh9tnZGDrmecTif0Dey00Df412q1gjBAEAQUsJXJZNyq4EOBzvj5+UkkEigpDDtg1ENk" + "g129evWlS5cuX74MDtubN2+Oj48nhrzvPB4PvA+gIrFSqUxKStqyZcv8+fOR6ynBETjb29tNJlN7" + "e/u1a9cg5ZXb+EAHYPNdV1dXVFSk1Wrnz5+vUqkMBoNUKgUJpKWlpaamJicnB5VBBiAU3PNsJ0lS" + "KBS63fjQY4hBtwiQhEUiUUhICLjUajQaeNxOp9ODSdbpdFosFvBIJwiC65kMdw3+9i6XCwq2u/UH" + "xDyYrpDYmRiytkA7/f39er0efLm5oyGRSOLi4h577LHW1taLFy8eOHAgPT0dLJzIwswVsIedk/BV" + "eHg4aLJMJlNraytY+0EDJZVKY2JiwAQ6MDDQ39/v5kXM7S38wX0HxwRX8Bv6bUdHR0dHx6lTp1AI" + "ACQmBDGbZVm9Xn/q1KmioiJmsCI0wzCZmZnx8fFc/RFJkgaDAXRhHR0dFRUVRqNxwYIFycnJQqFw" + "wYIFR44cKS8vP3z48OzZs2fMmAGaI7iE2Wx+//33P/nkEz6fD/o+kiRnz569YsUKiJIA0Xrv3r1n" + "z56FTmq1WqfT6e/vf99994GICyvJaJYIVAHOYDDAqKKHixQx4OFPDta1hqXs888/t1gscXFxc+bM" + "kUqlGzdurKys7O7uvnDhQnR0NNQb414IPTKDwQBzHvUNbDZoAMGdgWXZ+Ph4uB2uWhDUpkeOHIHp" + "h84SCoUvvPCCSCTav39/SUnJsWPHNm3aNO55Mu2YCnsGDAYzboYJOoK1GCvPMAgwniB7yFgNZZip" + "AzwyyJM8vWBZNiYmJiEhAcQnEEUyMjK4DnXIERRtQ9F2jWXZgwcPfvHFF2azGbKdBQYGRkdHx8bG" + "cqU+BJwVFBQEolRjY2Nvb294eHhDQ4PBYCAIYsaMGXFxceB92tLSAtmJy8rKcnJyvL290Tvi4+Oz" + "adOm7Ozsuro6Pz8/rVYLiX883Kler4fN6Eg7afi8vr7+xx9/tNlsDQ0N3d3dJEn6+PhAn7u7u2ET" + "D9KgXC5fsGDB6tWrQ0JCPG/aYNz6+/s1Go3D4UBDDd+CHTU3N/euu+6ChG3Lli1LTk52M7aQg97F" + "iYmJM2bMCAoKioiIUKvVCxYsCA8PJwdTr0HqKdQfVPLKzdpJcALIQWIhCOL8+fPd3d0sy3Z1dX3z" + "zTfnzp2z2+2lpaV2u91isRw5ciQ3NzcpKYlrkPfgNM4wjMFggEpmISEhoEOEMktDhx0Cqnk8ntls" + "BtWAv7//gw8++NBDD4nFYggRLy0tPXPmzD333BMbGzv02YHE1d3dbbValUoly7Ko1joxuKuOjY0N" + "Dw+HrFoQMMztOTnotU4QBCQIJAbTWXMvRJKk0Wh0q4iODJv+/v4zZ87Mzc1tbGwsLCyEGtEgvZMk" + "iQLF4b+gihp2zqBST35+fuvXr8/NzRUIBMePH//hhx86Ozu//vrr7OzsRYsWoTJXbq4K6JaRr/ho" + "dEzoRPQ3CPPDzm2WZSMiInx8fEQiEcTAUxQFCeRROzKZLDU1NTIykqKojo6OtrY2qG3OnTPkoBMv" + "jP/Ro0erq6utVivkAoA8VeHh4VVVVUVFRRUVFVFRUdxuMAxjsVhA+yAWi6OiouLi4rZt2zZ37lyW" + "Ze12O0SvSCQSCMuCY3x8fHJycu655x7wMQS3mtEEJ6O6g2VlZTCFhq5yYHxG3ePxeDU1NZWVlWaz" + "eenSpWKxWKfT3XHHHd7e3lqt9tNPPwVL8tDHB38M1StB2TZ0XSi8R5LkUFcRuDpa97gqYC8vL5Zl" + "d+zYcenSpaqqqjfeeGP27NlDyzRiMBjMFGQYMfu2Si+BGQ0Oh8NkMimVSggPw9L1dAe2ztPlOaJd" + "2qZNm37zm99ww25JTtUrgiBgZ0wQBJhcYPNK0zSIc3l5eZDlq6ys7OrVq3CMy+WKiory8vIyGAyQ" + "JQvFoxIEER4eHhAQABZO+CQ2NlalUjEMs2jRomeeeQZqgJ04ceKll14qKys7d+7c0qVL09PTkaYy" + "MjJy9erVUCOa220P94usiCMdBlvS6urqb7/9trOzUyqVgvzZ2dlJURRN0zKZDDasUB9IKBTGx8d7" + "tv/AtcDsiSyZQw+D0Q4NDZXJZHK5PC0tLTQ0dGiQP0mSkLr5/vvvR368kFJLIBCwLCsWi4ODg1FK" + "KoIgkpKSIEB0YGDAbDZznzJN0/X19b29vTNmzAgJCaEo6vz58729vQKBoKur64MPPkBHQvtVVVUN" + "DQ1u6UUgPZ5buQF0183NzXBTGRkZ4ADf0tKi1WpjYmK48kBPT09TU1NAQEBkZCSyD6ekpCxbtszX" + "15dhmM2bN4eGhj733HMtLS3d3d0QXDN0GKVSKTgOoFEl/nNWcHPv6XQ6roqBIAi9Xg8+0j4+PhKJ" + "BEyRkOQMiY7QLFQjJwaN+ehzcCQWiURr164tKyu7cOHC4cOHbTab0+m02Wwg8QqFQrPZzOPxpFKp" + "wWAAG+9QUKH1jIyM+++/Pycnh2XZ/Px8i8Xy3Xff6XQ6k8kE/iDBwcFSqbSvr6+vrw9uEFoA9Q0K" + "Mh8Jz643kM9s2N0Ly7KrVq3atGmTj49PUFAQcsXiuuP6+/s/88wza9asoSiqurr67bffPnjwYHl5" + "eVVVVWZmJtcNBJ6aVqu9ePHiwMAASZLHjx+HclaQzYFhmJ6enoqKigULFqCccwRByGSyvLy86Ojo" + "6Ojo4ODg0NDQmTNnIg0LyhcYGxu7efPmnp6e0NDQnJyctLQ0lUoF8c8EQURERAQEBNTX1yMlyEgW" + "kZ6eHtRhrn58qM4FQdP0P//5T61WSxCERqP56KOPQCVkNptJkrx06dLZs2fVajX3teViMBhA14MW" + "BOQiBO5Ccrkc0mWPNJe4mQWQLglq/mVmZt57771vvvlmfX39v/71r6eeemr06hgMBoOZLG6X0giY" + "64HP58NWfrI7gpkYpqOvilAoBH9U2KIhkylXHEUlfKOiory9vZ1OZ319vVarBZvhypUrV6xYQZLk" + "3r17X3nlFafTCWGEYGQzm8319fV9fX2hoaHItfLSpUuNjY02my0qKgqEQMg0ThCE3W632+0gNC5a" + "tOj7779vamqqq6vr6elJT09H3YZM2na7HRnEQBjw8AhQsrSR9pFwj2vXrs3KyoK0YSAGOByOvr4+" + "q9UaFhbW0dFBUZTFYoEES1KplJtnayR0Oh0YP0e6OrTg7+8PFaHAkjnsvYAjNIwtNAWmLWJwc891" + "Y2ZZNjAwEDLDnTp1Ki8vb/ny5ZD9gWXZysrKP/zhDyUlJdu2bXvkkUdKS0uvXr1qMpni4+MjIiK4" + "4bg6na6urq6hoeHMmTNz5swJCwtDX1ksFlTsDQ0CPGWRSJSQkADtgMFTJpOVl5efPn06ISEBpdzr" + "7+9/9913P/vss7vuuuuZZ55BEhRyMgetTVxcnK+vb1lZWWVlpVarjYiIGDqAEonE19cXLHtDRxgE" + "4JiYGIVCUV9fX15enpWVBV4bJEnq9fpPPvnk4sWL8+bNW7NmDVQ/qqurKy0tvXTpEiQagIGFul9a" + "rVYsFicmJoIvA9x+S0tLX1+fWq2eO3fuvffe29nZCfW6NBqN0WhsbGx0Op0ikchisQiFQqlUmpaW" + "xq0mxe2tr69vcHCwTCYLDAyEHGYMw/j6+mZnZxcVFTkcDhDRhUIhaBZaWlrOnj07e/ZstVoNjTAM" + "09XV1dHRYbFYwMzu9luDkoFzVUWgyhEKhdyJ5GbPR38rFIqYmBilUgkZEEC65s4EMBGD1Tc9Pf03" + "v/lNfX392bNnGxoajEYjN0UZ6CiPHTtWVFQEyg6z2fzjjz+id1YoFJpMpsLCwoKCguzsbJT0TiKR" + "bNiwYdOmTahX8PrAzaLOrF27duvWrSqVCl0OXMlIjnM1d3CQ8Mx9mwiC0Gg08N+FCxe+//77BMff" + "gR3MbcEwDASegKKkpqbmxx9/NJlMPB7v66+/RpeAyghWq/Xjjz+eP38+pAcfOnVVKhV6cHAJrVZr" + "NBqdTmdfXx9YsOEsyNTAHX9YQ0Aj49Y4WkMefPDBCxcuHDt2bN++fbm5ufAQsbCNwWCmMljMxvw0" + "kOpzsnuBud1hWRYyQg3rPUsMbsdZlo2KioqJiSkvL7906VJxcfGMGTN4PJ7T6YR0xLC77evr6+np" + "oSgqIiICkqgVFRUtX748MDAQ7H59fX2XL182GAwikQiJ2SAMEINxvLDP4/F4sbGxCoXCYrFAgCKS" + "/UwmU09PT39/P4grEJWNHEOGvc2goCCpVGo0Gj2LxCRJQkFmtM/28vICWYhlWZSTDFlKPbfGDsZm" + "e+gYIiAgAGx6w/rbA1C1i2EYONJzm5DZ7q677rp69WpFRcW7774rlUpTU1MhwPutt946f/48pCKn" + "afrbb79tb2/39fV99NFHt2zZwo0aaGho2L59+6lTp6BmNRLkCIKwWCwgRiJRE0ZMLpfzeDzwyGVZ" + "FspNXbt2raamZv/+/QEBAfn5+eBmfODAgT179rS2tur1ervdrlAoYLKZTCaHw4GEbYhsl0gkAwMD" + "Izlac31ih/2WIIjc3NyDBw9eunTpwIEDoaGhc+bMgfpqhw4deueddxobG+Vy+bJly0JCQlauXFla" + "WlpVVfXRRx95e3tnZWUJBAK73X769Omvvvqqs7MzOTl55cqVERERSAqF1ICgY9qwYUNJScn+/fsr" + "KiqIwWBvGB9UqJym6ZFCzcH6TdM06JiSkpKg/3FxcSqVqry8vKamBoLnly5deubMmfPnz+/bty8k" + "JOSXv/yln5+f1WrVaDT79u2rrKwkCOKee+6ZM2cOEk2JwRBiAD6EKScQCEJDQ+VyeV9fH2TM4sqZ" + "8HqiTkL5PWTHRkImSgvHsiyfz4cRhgUkLCxMJpPpdDqLxeLn58ddc5xOJwiKPj4+M2fODAoKAndo" + "o9HIsmx9fX1dXV19ff3Vq1dTUlKQOgaivlHSBJIkIVDCzcgMQ2232+Hlgl6hmzIYDBaLBcVae1DG" + "oSkN6i2SJK9du2axWOB9hBagIrfT6VyxYkVcXNyXX34JSpnIyEiUJ5xhmMjIyJqamqampgsXLhQX" + "F4eFhQ3r7ZKUlATaCrQsQ7ZzgiBgUSVJMiIiQiQSdXR0QJY4lCMQbq24uBh0mihvJQDzwc/P74kn" + "nrhy5UpdXd0f//hH5PuAJW0MBjNlwWI2ZlR4dtjDYG4o5GA2L+7mm3sA1+0WjD8FBQXFxcXV1dV/" + "/etfY2Njo6OjoZY1FJqCZNpQ7DojIyMqKqq6urqkpOSf//ynw+EIDQ2lafrHH3/89NNPW1tbExIS" + "oqOjwXA9a9YskGBRl+Df9PR0X1/fq1evQgYvX19f2F+2tLR88MEHhYWFkNIcYp6XLFkyb948rkMp" + "uguQ00Yq1esGsvKh/oAshOx+IDyM/s3lJgAb9iwY5ytXrgwMDAz1FUePANySIcvX0EZgFw6RqPAJ" + "eETPnz//zJkzAwMDhYWFJpMpLS1NLBaXlZVVVVXRNJ2WlpaXl6fT6a5evWo0GpcvXw7O+XAJaHPG" + "jBlLly6tra2trKwsKSlB5ZdJkmxqatq9e/fx48dRH1wu17Jly+68804I/kT38otf/OLq1av9/f1X" + "r159+eWXDx8+rFarIUVWd3d3VFTUkiVLIiIiKIqCsQUrLmrB19cXTMrXrl2DisrDjgDJSUblBkyq" + "uXPnLlu2TKPRlJSUvPzyy1CljKbpkydPtrW1xcbG3nnnnSEhISzLFhQUHDlypKenp6ioyGazpaWl" + "yWSy3t7ec+fOdXd3R0RE/OpXv8rKyuK2jwLgoZjW6tWrL1++XFtbS9N0aGhoTEyMW8guOcjQ+aDX" + "68GHQqlUymQyGHAejxcXFxcYGCgUCiEhn1AozMzMXLlyZWdnZ3t7+1tvvWWxWLKyssD14Pz58zqd" + "Ljk5ee7cuZBiAA1UR0fH2bNna2tricFYYh8fn9jYWKVS2dXVZbFY9Hr94cOHnU4npA0DnwK1Wo0y" + "UJAkeeXKlUOHDoGmDN4OoVCYmJiIfA3c3miVSgXxINXV1R0dHSinADyympqawsLCnp6exYsXv/zy" + "y1lZWeRg9AGfz//mm2/++Mc/VlRUHDhwIDMz84477kCXEAqFI6mxwPeex+PV1tY6nc6hmcbg0p2d" + "nQMDA06ns7+/v66uDizPMJcEAgGqZEEQhFqthhZmzZqVkZHR19e3b9++7OzshQsXqlQql8ul0Whe" + "e+21AwcOgM4oODgYpNy4uLg333wzNDQU+kxRVExMzN69e3fu3NnT0/P9998XFBSgyvaob8RwSje0" + "+CCh/e677z5y5IjJZNq9e7darc7OzgZTud1u37Nnz5UrV2w226JFi7hrLPcqd955Z15e3sDAwPnz" + "5+GWh44k5kYADil4E4jBjBW8SGFGBV5eMTcfkpMcy4Pdhhjc53ELF/385z+/du3aZ599VlFR8dBD" + "D4E1D7ZuhYWFer1eLBaDsUUsFi9fvry1tbWurm7//v1nz5718/NzOp3d3d0WiyUkJOThhx8uKCiA" + "LR24rKPUPshuExQUBAXSIEE0yLd8Pt9sNhcWFrrdVFtbm1qtTklJcdtKwt9arRaso54lZHKwIDP3" + "Q7TNHWuIBxpqqVQaEBDA9f8cemRAQIC3t7fNZhu2fpK3t7dSqQRTNtdTFGAHiwIikQki5wmCSEhI" + "2L59O4Re19XVXb16FZ6OUqnMzMzcsmXLrFmzjh49ajQao6Ki7r///gULFnC39TBDVq1aVVxcfPz4" + "8erq6p6eHghg9vPzo2n6xIkT58+fRwMF9rG5c+dCMmSuHPXQQw/pdLpTp04NDAwcPXoUpESJRJKc" + "nLxt27a1a9eCDTw+Pr60tBQCpJHkLBAIMjIySkpKvL29uRUZoH1vb29IjO+5WAP4jT/55JMSieSr" + "r75qa2vbt28fJA6Qy+WJiYnbt29fsWIF+NX7+/s/8cQTIpHo9OnTzc3NlZWVLMuKxWIvL6/k5OQH" + "H3xw48aNqBQTuAejcuUwgAsXLszOzm5vbzcajVAWC/nuQrfh9iEO3E2Ukslkvr6+Pj4+KSkpSDYj" + "STI2NjY5Obm8vLylpQVKrxEEsW3bNpqmP/vss56enl27dsG7w+fzJRJJamrq888/f9ddd6HU3KAO" + "OHHixMmTJ7mzKzIy8ve///3ixYvh/XU4HO++++67775LDFZ+Zll26dKlf/jDH8D0LRAIjh07duzY" + "MYKjj5NKpc8///xjjz2GXiLu8sKybGBgoEwms9vt3EBi0B/9+9//rqurU6lUS5cujY2NhWTdcIDT" + "6YQZ2NnZ2dTU1NXVhRYuDysYSZJBQUFqtbqtra25uRl8XoYeQxAEOP93dXWVl5e/9NJLKJTA5XKp" + "VKpXXnkFSsHx+XywHsMb9Mgjj9TX1zc0NDz77LMbNmzIy8vTarWFhYWHDh2y2+3JyckZGRkNDQ0N" + "DQ0URS1fvjw1NRXqwMGlaZpes2bNp59+qtPpTp8+3dbW5iZmQ9/a2trsdjs3GQGMIddiX1BQkJOT" + "U1hYeOrUqZ07d27dujUmJgb0IH/96191Ol1gYOCqVavgvoYOF8MwTz755MWLF51OJ3j4Y24OAwMD" + "fn5+06ICKAYzpcBiNgaDmaLA7i0yMjIuLs7lcgUFBY0k+0VFRUVFRWVlZQUFBcFZIpFo27ZtUqn0" + "4MGDHR0d7733Hpg9wYvSz88vNjY2MjISNqNbtmzx8fH57LPP6urqjEZjV1cXn89XqVSJiYmrVq0q" + "KCgAkwvszhMSEiwWC+xEkSgyY8aMu+++myRJqJfL4/FmzZrVPj3zCgAAIABJREFU2tpqNBq5eX1A" + "DFiwYEFwcPCwtkGSJNesWdPe3t7d3Y0Chm+CkoscTLC0YsUKiqIKCgogxNft0jAIOTk5mzZt6u7u" + "TkpK4h4A3+bm5m7evFmn061evZob1IouBIOwdOlSl8sVGxublJREDPoGZ2Zm7tq169ChQxUVFY2N" + "jWazWa1W5+bmrl69OjIykiTJpKSklStXBgQEzJ49m9tz1HJ0dPTTTz8dExPj7e0tEAji4+M3bdqU" + "np7e1NSk0WiioqKgrFpwcDCfz58/fz5Kqc1tLTo6+k9/+lNxcfHFixerqqq6uroCAgIiIiLWrl2b" + "nZ0N6bglEsljjz3m7++fnJwcFhZGDjpZkCS5bt06tVoNHsVuLefn5/f09IAATIzsJQT3olQqn3nm" + "maysrO+++666utpisfj6+qakpNxzzz0ZGRngSwy22fT09L///e+FhYWHDx8uLy9nWTYmJiY5OTkv" + "Ly8pKQl5KMAtt7e3L1y4UK1WI82Cl5fXunXr7HZ7Y2NjZmYmRHFzux0cHDx//nySJBMSErglmkA+" + "3Lhxo0KhyMzMhCzcxKCANG/evK6uroiICLByEwQhkUiefPJJtVp9+PDh7u5ucL4Qi8XR0dEbN27M" + "zc1FWTalUml0dLRGowHJFkC6LYqieDxednY2pE4AZ2+I14ASa7BWBAcHJyYmulwukUhE07TBYBAI" + "BOAtApkCGYZJSUmZPXu2QCAAWzd6KPn5+WfOnJHL5ciBGT632+0DAwNSqTQ/Pz8/Px98UlCOMfAi" + "ycvLu3jxokajAQ/wgIAAqFnANdS7IRKJQkND/f39s7Ozwd976JQgCILP5wcGBgYGBrIsC+nWaJqW" + "y+WgC2hqalq8ePGcOXM0Gk1kZCQczzDM0qVL29ra/vGPf7S2tr755puffvoplHkTiUQpKSnPP/98" + "SkrK+++/b7PZwsLC8vPz4d1HYQIkSXp7e99///2tra29vb3Xrl1LT09HidDgX7FYDAXJCI6yIz4+" + "fubMmVqtFoRtp9Pp5eX16quvbtu27eLFi8XFxRUVFaGhoQzDQC4JhUIBbys56OuBFD3o34yMjDVr" + "1nzwwQdQpnuk8cRMLNjQgsGMD3Ik9eqkAJl+IS/RZPcFg8FMPshL2W63JyYmcksfoQNIkjQajSUl" + "JSA5I3spQRAkSRYXF0Nq8e7uboIgXC6Xr6/vnDlzkH0bNpR8Pp+iqEuXLkGIqVgs9vPzy8/PDw0N" + "/fHHH2NiYpKSkkCkMZvNLMuC0Y/bB5ZljUYjxGBDB8Dahize5GAIqFwu97DEsSwLMb3gOHqT9zfo" + "F8Hzdble+uNohPu7wz0Ghgs+MZlMNE1D1Cvs+EEnMtK5AETwcr+F4x0Oh8VikcvlbonHhu0heLPD" + "Jp6iKKvVCkWYkJUVnTXsOHi+fc9D53YvMDOhfpjD4ZDL5VAXnRjM2oU6DFnWSZLs6OggSTIgIACE" + "T/Q5atZisfD5fK73MtwXpAcDTw2u/I+mNzhZDLVogSQ81LcCeeyjplA1L5qmHQ4H/BeSmYPtlHtw" + "W1ubXq93uVwDg4CjBOg1ILC8oaFBLBZ7e3u7XK7S0lKz2SwSiQIDA2NjY729vRmGaW9vFwqFSqXS" + "YDCUl5eDd7RUKoWSfiD3gucFJFRD92u1Wo8fP65SqbKysrhB3QRBNDc3X7p0KTU11S2bPUKv1x8/" + "fnxgYGDhwoWxsbEGg+Ho0aMCgWDevHkBAQFDnz5c8eTJk6WlpYsXL4ZadCPNkIMHD7a3twsEgv7+" + "fofDUV9fn5eX19nZSRDEtm3bAgICTp069e233957773Z2dncp3Dw4MH9+/c3NDTo9XoY56ioqJdf" + "fjk1NVUgEBQWFr711lvLly/ftGkTUpeg7hEEYTKZ/vKXv1RUVOzcuTMlJQWFtdM0/eyzz167dm3X" + "rl3wOfe9e/vtt48dO7Zz5860tDRYP3k8Xl1d3R/+8IeqqiqtVgvJ8JVKpY+PT15e3osvvhgYGAiH" + "ffnll2+88YZcLn/vvfegNh7cS29v744dOy5fviyXy995553MzMybv05iMBjMaJgqYjZ0o7q62mg0" + "os00XjcxGAwSmImfEtvc8ki7Jekd2iz3YEjD6+anDTWoampqVCqVWq1GYh50yU3ccvtwaH1g7pEe" + "ttEoYpkczPZ000Bu3kOLVw972FAXYvgWHAeG/RbhcrmgTJFbyB98TgwK1fBcUH+g8aFnjdQy8Z9V" + "ytDYoiiDkTboXI93MAlCDSrug4POgFuym1jCvYVxDA4XyIwNMxP639fXJxAIILMA96LQsslkAjds" + "ctBleqgigxgSfg83iHINDJUD4Y7ccvsDNptNq9WqVCqUHxtdCy43dNBgVIlB3RNUI+ce5mFngkR3" + "lNENvWgj6TXcpH0ENOJmOOWe6KFNtwVk6AHcc0ejWxmThms0cK8O+hqSJK9du9bd3Q2dnzNnDpj0" + "uW7z7GCRs9F3z8OJQ2+cpmnIc3H+/PnDhw/39/fTNB0XF7dkyRJQZSKFC03T586dA6UJet+hwYGB" + "gUuXLgUFBSUlJQ2bsR8zsYzkd4PBYDwzVcRsMEFcvnx5YGAgIyPD399/2B9FDAZzGwKShgfJCtn9" + "htrTnE4nyA9utruhkiSqUIVMpiglmNthxHBJwtx28/DfYXv7k4vbSJe4fUCjN1GDwFXWEGPR4XoQ" + "0m4aox8NZHn2/KagFAbcEwmOBDtSH7jfIg0IGI29vb1HcjbxcEfAsBflFqBCVycGU7hxFV7wOahF" + "CE4Sb2JQaYX0NXAhMKuiRoYdW1BbuOkIUMdgDEdSRbkpU0apWwEPc5FI5NmbD9VCR5oF1H9YIWma" + "hjB+t3ZQXgnuFbmh6aiTHhRPxKByyq3nxHBKJWJw6XY7Bc0ct4PdtKLIM2Woroor0t+2iyQGg5n6" + "TBUxG4F1ZhgMZsJxW+jGtMiARQ7HAWKmCJ5/JT0bTm02m8VigZJj4+4AyI12ux2ibQmCOHXqVHR0" + "dFxc3LjbxIyPMW2ZQO2IFkM3iXo0TY3koUN4dDUa9hTQaaJPhvUKQa4rbqeDzD+sEgSDwWCmDlNL" + "zEZKSrxuYjA3FPyuYTC3FexgBi+bzSaTyUA+GZ/yiKZpnU7H4/EgEwG3sPNE9xqDwWAwmOnK1BKz" + "MRjMzQGK2UJGqMnuC2aagQWqW4D+/n6JRCKTycbxKBmGgXJuaPUYTewxBoPBYDC3FbigFwZzewEy" + "EjfuEW+OMWNlmk4bLA0ixToKbB4HPB4Paj55zj2GwWAwGMztDLZmYzAYDGYMGI1GgUAAdcsmuy9j" + "w+FwsCwLhc0nuy/Tm2mqZ8FgMBgM5kYDxTsEAgHeamAwtyMoee9kdwQznYA5097ertVqIe3wdIEd" + "rP1rNBq5WaBuW67z9R+HjI3HHIPBYDC3AzabTafTOZ1ObM3GYDAYzKgAG6ZerxcKheML651csNP4" + "ZMGyrN1uFwgEQ7NGYzAYDAZzawDbJJvNZrfblUolFrMxGAwGg7ktuJmKBm6NbpqmNRqNUqlUKBRY" + "zYHBYDCY2wEsZmMwGAxmDGCb8PTFYrHw+XyxWHwTHp/dbjcajQqFQiqVQsa1qVxB0OVyTeXuYTAY" + "DGa6gLZJODYbg8FMAgzD0DQ97lzHkwKsmyzLUhQ1vXo+sZAkiaWRaQfMXrPZbLPZJla9PlJrfD5f" + "KpXy+XxwouPz+VN22jAMYzQa7Xb7ZHcEg8FgMNMetE3CBb0wGMwk4HA4zGazQqG4OYa164dlWavV" + "KhQKITjZy8trOqbaxty2wFwNCgqa2GYpinK5XCKRiM/nu30lFAqnUSQ2TdMikQhnUMdgMBjMRIGt" + "2RgMZhLg8XjTq64Sy7J6vd7hcPB4PKFQOI16jsEgJrC+ALSj1+vb29vNZvOwzU6XqDQejxcYGCiX" + "y7GMjcFgMJiJAsdmYzAYDAaDGRtg+NXpdCaTyc/PTyaTEdM5Yh9nHMBgMBjMxILFbAwGMwmglWca" + "7WuRQynekWMwGAwGg8FgPIDFbAwGg8FgbjsmJA4ZvNBxVjwMBoPBYNzA4YUYDAYzYWDFJWZaQNO0" + "w+EYmjCfYZgxzWGSJHEdLAwGg8FghoLFbAwGg5kwhsobWPDGTClgQlqtVoPBQFGU2/zEda0wGAwG" + "g5kQcEEvDAaDmTAsFgufz3erUoarBGGmDjAVZTKZRCKBAnXoK6gJP42qcGEwGAwGM7l4yNeDY7Mx" + "GAxmAgBZ+vz58wqFIjY2ViKRwOdGo5EgCLlcPrSwMAaDwWAwGAxm+mI0GgUCgVQqHSppY6dxDAaD" + "mQAgF5TD4UC+uEB3d3dXV5fD4cA6zfExgaWeMZ5hWXassdlcHA6Hy+Wa2C5hMBgMBjM1gZ9Lu91u" + "s9mG/fXE1mwMBoOZAMCabbVaWZaVSCSQF8pms1EUJRaL3dzIMaPHYrFQFCWXy0Ui0WT35VbG5XJZ" + "rVaxWDy+caZpuq2tzdvb29fXF091DAaDwWCwNRuDwWAmABAtpFIp1z/cZDLRNM3n87HgMQ7Ajj0w" + "MNDe3g76C6wXvnE4nU6z2UzT9LgH2el0wrn4MWEwGMx0gabp61n5MR42J9iajcFgMBOGh0wYmPGB" + "fqTwqGIwGAwGM1GAF55OpyMIQqVS8XjY+DrB4EzjGAwGM2G4iYJY6r5+8OjdNK5zujIMQ5Ikfl4Y" + "DAYzjUD+d7gqyoSDrdkYDAZzQ7htpQ78U43B3LZg3SIGg8EA2D0Ag8Fgbgg6nQ4iiie7IzcVh8Nh" + "tVppmiYIgmVZl8t1u43AdIT7jFwuF8Mwk9gZzLTGbrebzWY8hTCY6QLOe3LjwGI2BoPBjAcPP0vw" + "VVtb28DAwE3s0SQDd63X69va2qxWK0EQNE3r9XqHwzHZXcN4gqIoo9GIas6ZTCaLxYLFJMxYQbVt" + "zGYz1q9hMNOF29Pt7uaAY7MxGAxmzEBlbKFQOGzKEPjFyszMvOn9mnykUqm3tzcUhWIYBnbb2I18" + "agLPxWQydXR0hIaG+vn5EYMJw7GMhBkr8I77+PhMdkcwGAxmSoBjszEYDGYMgGSi1+spilKpVCKR" + "aCQBEsuWmGkBRVE6nU4mk8nlch6PR1GU3W6XSCS4UDlmHICOBqcsxmCmLHhzctPA6yAGg8GMAVQf" + "W6lUCoVCD79Vt+fPGMuyDMMgBS62i05xWJYViUR2u12v10NEPU3TVqsVO/1ixgdJkljGxmCmMna7" + "HYcF3Ryw0zgGg8GMGbFYPNldmKK4RXndnrqGaQc5CMuycrlcLpdPdo8wGAwGc0Po7+8PCAjA25ib" + "AHYax2AwmDGDfa4wN5RxT7Drn5loV4BnOAaDwdx6GI1GuVyOymVjbhxYzMZgMOMHZbfCO3IMZgIZ" + "n7TsOTOfB27bGu8YDAaDwdwgcPzMLQhWnWBuGmazua+vD1dswmAmEJZl7XY7REqP/hSCIEwmk8Fg" + "oGl6rL8CPB4Py9gYDObmg7esNx885jeNKS1mc/PoYMbEtB43txRKmKkJ2LGNRqNWq7Xb7TjTFQYz" + "UTAM09bWptfrR38KCMkKhUKlUnnOzIfBYDBTBEi4iNNx3WTwD8RNY0qnQDObzQKBQCqV4gkxJqxW" + "K4/HE4vFyG8Q5J/pMoxWq9VmsykUCpyeYSoD00mtVqvV6mEPmC7Ry9Pr7cDcDpAkKRaLBYIx/0Dj" + "ElwYDGZaADsEiqL6+/uDg4OxchBzSzJFY7Ph9dNoNGKxWKlU4uIQowSeZllZmUKhiIyMRMuWw+Fg" + "GEYkEk3NhAcURZEkifaUHf+PvfMOj6O8E/+UnZntVauyq1WzLMu2bGFjsMEYTEzoPXQIKSTH5eAu" + "cJCQhJADAglPjnCXHA9JLiQkgQSSEGpoMQmYYoNtXLCxXCSrd2l7nfr743ue37IrrVfSrLQrfT9/" + "+JFnZ95555133vf91revLxAI1NTU2Gw2AuWf4iaHjKqV+Kp2Dw17QiqV0ul08DkkEgmKonJsf50P" + "mDUKQRAEQZDSAi0NBaVIxVd43xUVFXa7HWXs/IEcNjRNG41GEEvg+4nH49ML2Cs0UJ9gMJhIJIhj" + "9ff5fCtXrrTb7ZiSp/jJ8Y78fn8ikZhJl4Nrw+FwNBrV1qmsv78/EAhAmaFQaOblp1KpcDgciUSm" + "FE8775FlGb0BpwcGziAIshDAgW5uwXVLgZAkSVGUonYaLxWn02KjtbVV/Rsa0OFwzF11jo/BYGAY" + "Rv0vqtbmAZIk7d+/v7q6ur6+foavEsJGtOoPMKqIogiplQmCqKysnHmBqVRqZGQkkUigF0Y60WiU" + "JEmTyYTa0qmCLYYgyEIA50qtmKrQBOcHg0GXy4VO+5rj9/vtdntRi9n4yqfHhFuzFKfOQk3bk30Q" + "KXV8Pp/D4ZiJtAA9wWQyaVep/yuzqalJPTLDTwN8Rmw2G8uyY2NjxRmXMfuocXc0TaOxAkEQBEEK" + "SiQSMZvN+S+6YOVTU1NTyEotXGB/zSKNzUYWFGi+RnJQiO6h+S7B2IeLh+JUKSLILIODEoIsHBRF" + "6erq8ng8U00ejDNmQUExG0GQgqC5KFvkYBa0bGZ/oa8oSiKR0Ol0mHMbWeBEIhGWZWeY3BFBEGSB" + "oK3GAUrD6C8EQQoCRVELanlHHmOuK1JEzH6DiKLo9/thI/fZvC+CFBvj4+PRaFRRFPwWEGQhgF/6" + "TAAdvYZJW2Hxg9ZsRAPQ5wRBEARBEARBkJJDluXe3t7KykptPYBQzEZmiiAIkiSxLIupcREEmXNQ" + "64cgBH4ICDLvSKVSBEFgJEghUBSF53nN2xblImT6gI4mmUwGg8FUKqW5ygZ1QAiCTBVcfyAIgR8C" + "gswjYD0cCoXC4bAsy7g81hySJDmO03zYRGs2MlNUa7a2cZiCIAiCwHEc7pCEIAiCIAiCLEzAOQWt" + "2SUHitlI0QGjSSQSCYfDTqdTr9fjgIIgyEJAURRZlhda+kAEQRAEmX+gmI1oAMaA5Qk2FIIgOUil" + "UtFo1GQyFcJ7DUEQBCldcA1Zciy42GywFcx1LeYbhfjs5982JIIgRKNRQRDmuiIIghQpMEPNs6EP" + "QRAEmTkoY5ccurmuwGwTj8clSTKZTBjxW+TMp9EEFJAgZtM0rdPp5tPTIQiiFXq9Xq/Xz3UtEARB" + "EASZKaXtNA6Vz1NiAVFnfHxcURSbzYaiDoIgCFJsTGleQxAEQRCkOClta3YikZAkyWg05mOahlUL" + "wzAcxzEMU/jaIUgmqlYL19AIgkwIDg4IgiAIMg8o1dhsEFdSqVQymZQkKX+bfCQS4Xm+kFWbPQoR" + "vTz/IqKLCtjzLJFI8DyP7YwgCIIgCIIg85LSdhpf4CQSCZIkWZalKM3UJZFIBAz+aFEpBBC50N/f" + "bzKZrFarhi8OQRAEQWYC7ieHIAiiIbO6yi8G0+v8sNbCIwwMDIyOjoqiqMkTQSHRaDSZTM6DJipO" + "YO3i9XrtdjvK2Mi8R1EUnudxcwcEKQkEQfD7/fjBIgiCaMLsxWYLgsDzPMuyGsZFT0PhWuo62vTs" + "OBzHmc1mhmE0eSgopKqqauZFYQqf3ODOh8gCIZFIjIyMOJ1Oi8WCfR5BihxVL4YGbQRBkJkzIzE7" + "T2kKTovH4+Fw2OVyFSjFd47KwMxBkmQOiXQmkuHsSE2yLPM8T9M0RVEwBVZXV2t+F00k5FQqRRCE" + "tt7sU6WYRf3irBWCaIi6iV08HrdYLARqlxCk6OE4zuv1znUtEARB5gkzis0Gz6J8RCme54eGhhwO" + "B6y3CIJIpVIURWklcsuyHI1GGYbR6/UZBYJoeuTIEYZhvF7vhFuSKoqSSCQURWFZVqfTQfUYhslz" + "b21wRIfsVjN/lgnLJ0lybGysvb3darUyDFNZWWmxWKD9c9x31kRNdQGtVlWWZbvdrpWlfXpVIlCg" + "RRAEQZC8QXVYBriWQBBk2kzf2MjzfHd39+DgYD6JuyVJ8vv9EPQLY1YoFIrH41rFAIuiODQ0NDo6" + "KgiCWib8EYvFotFoIBAIBAKJRGLCoCNRFAOBQEdHRzAYVBRFEITu7u5IJJJn9Xie9/v9wWBQEARN" + "HicDWZahSkePHv3www9feeWVgYEBaMloNJrjptFoNJVKzUKgNeRNUadnp9NZVlZWUBlbluXcGeYj" + "kcjo6CjY1RGtmFJWfwRRR4a5rgiCIHlRUHmyFIeCVCo15zuDzI+kQgiyAKHvvffeqV4D0tT4+Pjz" + "zz8/NDTk9XqNRiORc3QGA6zJZIJz1C2stbJm0zRttVo5jtPpdOkxReQxfD6fwWAAe3V20BFFUVar" + "NRQK6fV6o9Eoy3IgEDCZTGD6zlFDGPgOHz7c2dkpCILNZoPIc20nqv7+/lAoRFHU6tWrXS6X1+v1" + "+XwURcXj8VAoBNuAZ9wR3lEgECAIYhbSho+MjASDQWh/Iq3Zp1FUnprjZDIZj8dpms72OIAS3n77" + "7X379rndbqvVmk+ByHFRFCUQCEDwxVzXBSkNcgwF0zOaoakNQQpNMpmkaboQH1o8HicIolQCv1Xv" + "PEmSjrsanAVKotEQBEln+rHZBoNh9erVLMvmOfrIspy+5DKZTNO+9YQwDJOx+ocbQfVkWXa5XJNV" + "kiRJRVEWL14MJ7As29zcnM9NYRROJpM1NTXV1dUsy870MbLKJwiis7PTaDQuW7aM4zjIJESSZDgc" + "jsfjbrc720+eOPbslZWV2tZnQiRJ2rFjhyAI69ev5ziOmNlkALuUcRw3WTACtDlY+FV3/ezT/H4/" + "dE5cl2uFoihgzcYmRWYIz/OiKHIcl64mU+eIOaxYDmbY7fGrQUqFzs7O+vr6CSPspo1qnoFoMg1L" + "Lhyqd95MLAeaEI/HeZ63Wq15RjIiCFIkzCg2e4Zpw6Z9bY4yswtMDxvOfcf0Rd40FnyFXkWp4qWi" + "KKoyOPdNCx1TBHfneb6zs9PhcJSVlc1kKoLShoeHaZpW/QKmXRS8Qdw0C8kHQRAgueBcV2T+A5/5" + "0NCQ3+/3eDw2mw1GDJ7nw+Gw2WzOvbgHO9vsL9MVRUkmkzqdbtq3LvUIT1QT5E+B2mrWXsFHH320" + "bNkyg8EwC/fSnHnWUSHre1tb28jIyJo1awwGA85TCFJCTN+arSiKKIpq1usJT1D/Vk9QR0AwIGs7" + "IE5YVLoDee7L0wcv9e981kb5p4JTzxcEQafT5Z9iTf0jo2Lq37mfvUCoxv+mpqaZ3wtKqKioyOdk" + "9fEne/BCdDCk1EWFyQiFQgaDQXMXG2RC1JSTMJqp+rW+vr5FixblCHKRZXnPnj0VFRW1tbWzvNaU" + "Zdnv91sslmkEOqVSKZ1Ol0wmKYqa0PkoG9gdI/85otDAK9PpdLk9tubr+FAMzGZo7urVq4ljAp62" + "Jc/OjDz7837h7gjFlpWVEQRRoG165iXQe3EFiMw50xezc4RoZs8HGTJh7pOLkNyVTLeW54Moin6/" + "32q1Qkz7DGsCRzRpxmmPR5NtswlW5SkFYkmSROQRu3XcAtGUXQjm64yFpuzZhCRJp9NZWVkJMieo" + "w5LJJJE2lk6muh0aGuI4rqamZpbXTzRNV1VVTcNbBzIamEymgYEBjuM8Hk8+uSEFQQgGgxaLxWAw" + "FMNHB1lCLRZL7spHo1GapvV6/UL+miRJisfjHMdpG0QWjUZFUbTZbLPQtrIsp1KpaDTqcrm0VfRo" + "2JnBsy+7etFoVJZli8UyPzohtJjb7Xa73XNdl8ICQ7omA7ssy6FQyGq1JpNJtP8vBCApcnHqoWbk" + "NJ6DRCKhJnmGoVCn00ESb5qmWZaFkGaQwSDZxmw2kCRJEwbbZDhmEwSRSqUkSYKNviYUI6HyqnAI" + "x+EPWZYnTGxOHNtmLH9rNkmSoijC7t8kSdI0LYoi/MQwjCiKkE9OvbtaEzhtQtIfE0Y3zXOD8zwf" + "iURMJlM+adjgMYPBIEVRZrMZR0YEmccskBRoiqLE43GGYcLhsE6ns1gs+Qz7kiQlk0lIOFISzwvv" + "ZXR0lCTJGYb8lDqRSKSnp0eVi2b++qBtjx49GgqFli5dCmlctahprtsNDg729fW1tLTk6X8x+0Qi" + "kQy9A6wnP/nkk3A4fMIJJ8xmzSGBLqxsC1E+6CLn8aJIlmWe5zmOg9FyhuOeJEn9/f2VlZX9/f0e" + "jwdyBiEFZW5dmZLJZCQSsdvtRShpT9+aPRmKokQikb/97W8HDx4EaVaWZZvNZrPZYOMru91eW1tL" + "0/ShQ4eCwaDZbDaZTAaDob6+nmEYDXf5ykEgEOA4LttQABNMNBqVJMlkMlEU1dHRMTo6Wl9fX1FR" + "YTAYZFlmGCaRSOj1elgJlZeX63Q62Cna4XCA4A0vu7e3NxqNzry2UKuRkZHu7m6dTgfNFQqFJEkS" + "RbGioiIQCFRUVEANk8mk0+m02+0URcE+Z5DbMxtIqmEymaBfyrLc2Njo8/k0XCGBNXsal5SEjwMy" + "b0Bn11km+wOHgeK45uKSy7lAkiQEI4DbZ57QNF1sIQy5FRyqzW0Wa1SksCzrdDohsFmTIQUK8Xq9" + "syMwwO2qqqqqqqoKfa/8gcVkepiJuqlneigiQRBWq3U2lXFwr61btzY3N9fU1BQoymMafjSlhSAI" + "Y2NjFRUV4+PjNptthsISTdPg8eT1eheyym82SSQSBEHMlftVMQsOGovZELD9wgsvPPjgg0eOHFGP" + "kyTJcZwgCGAZttlsiqJEo1FwFIRduJYtWybL8sjICIyn2lYsg1QqBQb2CX+FFNbg8RWJRBKJhNVq" + "1ev1ZrNZFEUQcW02WyqVikQizc3NLMsePnxYURSfzwc24cbGRqPR+O67746MjEx4i6lOAyRJJhKJ" + "WCwGjcNxnCiKYKk2mUw8z0Nkqc1mC4fD9fX1dXV1oA7Yu3ev3++fsED1dUBNJEk655xz7rjjjpaW" + "Fq0UQhzH5b/wgjs6HI6Z3xdBpsT8XsEUIdkNnqfkXCSxylNiwjQl+VxVVN0yz5DyPM+cx3AcVwgB" + "dZYtcsXzKlUzg8FgsFqtakCZzWbLOBOOG41Gj8czywN6QMAkAAAgAElEQVRFNBrleX6qIXKICkVR" + "YNmqqanRpEDoNprv/jP/gDwgM3HEgKYOh8M0TcMmQbP/CYBaE9KUFtsHqKXTONgZenp6vvSlL33w" + "wQdEWsiuKIrwq06nE0URdJDg5yxJEigh4GD+sRnZp6lH0v8gJpoq4C7pP6WnGVMVh4qi6HQ6qLPq" + "pK1eDqepRhi1BNVjfKovWw1TnPC4mg4nQ30rSRIYrtWapD+OelrGKlZ9NarBmaIoSZJuvvnmu+66" + "q7a2dsJ2mwZTna2LZ3ZHEARBkKlSoFms2DQvswOsVXbv3u1wOLxer7qMnsyPuqenp7y8XNvdyI7L" + "wnw1MwcsbelBhdiSswzP8+Pj42VlZUUooOYJ9JmOjo5EItHY2JhPjOqEFGhLUS2t2fCobW1t4+Pj" + "qlzqcDjAjq3T6dSHJ0kylUqRafHYJEnG4/FEIpGnj7HRaIRY5fS7syzLMAxEU6tHwLNIPcdsNsPd" + "IabaYDDodDqw64qiSNN0KpWC2GCQ/2VZhvRIsVgsmUyCXA1vQhAE4tO5H+GO4LMUiUTghDybzmg0" + "ms3meDwOdyHSJmlZlkVRVF27VamYZVmWZWGykSSJ53nVh4qiKJic4NnhePodaZpWxXX4G6TuV155" + "5YILLqitrdWqq6n1ybPAEv3OEQRBEIQo2Cw2k2JLV38NgvSqVasyjk+2INbKHDolYPVYQsEsc46a" + "AoAgCHDtzrFQLOYEV6UOSZIMw8y86875CFNeXg5pqqZdQigUMplMmntAaB+bDakLoMUdDsdFF120" + "dOnSsbExm81WVVUFEh1N08PDwwzDwKapcOTQoUNHjhwRRTH3ewJRs6mpKRKJDA8Pq69WEAS3211R" + "UdHV1ZVIJMDA63a7BUGAzJMURQmCsHz5cr1ePzQ0BIkoa2pqzGZzMBiMxWKQrKu3t9dsNjc3NyeT" + "yWQyyfO82WzmOG7Pnj1dXV1GozEajYJ8Ozg4SJKky+WCAQKM9i6Xa82aNYIg7Nq1KxwO59Pn4MLF" + "ixcvW7ass7Ozq6sL3MPUrj8+Pp5IJEwmE1RVFEVFUSDdbnl5OSgsotFoR0eHIAggbEMmCb1eHw6H" + "KYqKRCLxeDxDhaEK84IgjIyMhEIhyFGnrdN+MplMJBIWiwW9dxYmcz74FieqkgtbBkGQQhOJRBRF" + "Kd382/nPI3NiDsUA4OkB5i54Xzl6Js/z4XDY5XKhT77mMAwzpbwhkzGH7wVubbFYpl0CDBqRSKQQ" + "XjAFSYFGEARYU1esWHHLLbeceOKJ8+PDCIfDVqtVFbPb29tZlq2srCwqAVIQBDBQR6NRjuPGxsb0" + "ev3o6Oj4+PiEAQI0TQeDwR/84Ac7duxQk8NrghoCoGGZSGkBbh0cx2GqzwySyWQ8HgcV3lzXBUGQ" + "eQvYGIaGhgRBaGxs1MRyNfvkv4acH6vNeQ+8pjxdD0ArXYiN3BEip2aqhHz4J4siyYcp9capor2Y" + "DUClFy9e7HQ6wdyaIXFlhBATU0ylOKFqM/vghPHbxKdDqXMUlV5PkiSNRqMgCEajUZZlQRAWLVpE" + "EIQkSenO4dM2UmVfmFGH7MfJkGDTXc1FUTSZTLIsQy4Wh8ORuz7PP//8/v37U6mUhlIx3NFkMhVb" + "ylxk1gAxmyTJwu10UnKo+UIGBwd9Ph8o6bBxEAQpBDC2+Hw+giCKyiSAIHmKRgaDAXJcIYUgh4wd" + "jUYhNd0sV2kaZEtP05DCCrESK5SYDUB4Nqywj/uepiTgTWYmPa75NF1szpZasw+m/6qeACnKRVGk" + "KCo7XXm2BiHPJ5rqVROK3Ol6BJ1OB47iOTLdwxiXHbytFdnKAmThQFGU1+ud61oUF/AhVFRUlJeX" + "40eBIEhBgUEGpRSkCMnfIlVCZtV5gyzLY2Nj4L1bWo0fj8d1Ot1Uq12gZyysmK3m68ozRHlKhU92" + "fv73yjhzwoPpv2ackDsaZ3ovbNqvWb0w4w9ITp5jc4tCB4iW1seJaA7GZmsILjUQBJkGOHQgJQ32" + "3tmHpum6urpSbHm/32+1WoljVt65rUxhPQEikUh6MnCkJMBoakRDMMvXZEyjWRKJBI6oCIJMFRyE" + "EQSZKiU6bvh8PqvVGggE8t/vqXAUVszObR9GEATRlvmtJAoEArDRKIIgCIIgSEkwm2uz9FxXc74m" + "LKzTuNlsho2dUdIuFWAL7hm+MvQTRuaQeTPgZD8IBrojCIIgCFJaRKNRvV4/O1vfgYBdUVFRDKvB" + "wlqzQ6GQtntEIYUD+mUqlerr64tGozPRAMXj8WJw1UAWGoqi+P3+RCIx1xXRAFmWRVHM2Ot+zvWy" + "CIIgCIIgeQLrFr/fn0wmZ9mmHYlE5lwYKZSYDU0Zi8VgN68C3QXRCp1OR5KkTqeLxWLt7e2BQACc" + "LqZRlKIowWAQ1SvI7CMIwieffDIyMlLS4ihUfnR09PDhw+FwOHsfRARBEARBkOIH1i21tbUWi2XW" + "1jBgxw4EAjzPz+2CsLBO493d3X6/H5eGRY6iKFarlWEYSZIMBoPX67VarTPJeY6urcjMgVxfDMNM" + "qStWVFTM5lBeCGBKGBoaamtrMxgMkDBTfSJJkgRBYBgmx/YBCIIgCIIgRYKG/tv5xKWqsr0md5wJ" + "Bd83O8PpESlOYGNtWZaNRmNDQ8NMxGxi1j8nZJ4B/ScWi0mSBAqgPDsAy7JLliwpdPUKDUVRBEG0" + "tra2trZm/xqLxYaGhsrLy202G34XCIIgCIIUORouV+LxuCzLJpMJFks5mLex2SXtsbkAIUnS7/fz" + "PE+SZDAYPHLkSCgUmrbTOKHp55RMJvNx+VA+jVZ3R+YQg8FgMpkgnCH/q0BhVLhazRqg9kp/FvVv" + "nU4Hs8v8eFIEWWjgPIUgCDJVYNhMJBKJRCKfUXTOZWyiQNbsYngwZEoYjUaapkmSlCSJ53lRFOdc" + "CQQVCIVCDMPY7fYcLrLZX9qcVx6ZCfDu9Hr9NK49rnYzg4zOUzzdJnu/cfiv1WoFN3IEQUoUSAXE" + "cRyGfiD5o85Ws+YtOJkYUzwTJbKggI5XVlY21xWZAlqK2erzG41GAi0tJQK8pvr6erPZHAgELBZL" + "RUWFyWTKXuXPMnD3ysrK3KeBQiuZTAqCEAwG9Xq9wWDQ6/VgBS3yyQDVATkodOOoA5QsyxDbAkve" + "In8j6RtCznVdEASZGmpEjCzL4JaCHzKSJ7Isq1sBz7zbpBsDc5QmiqJ6PpxZEhMlMo+BrjtVm8pc" + "obGYTZLkypUrvV7v3r17IYMRUhLY7XbY4ZxhGIiGnesa/R+5pxNFUQRBGBgYeO+99wYGBg4ePOh2" + "u8vLy1etWrVq1SqbzUYU92QgyzIusyaj0DI2dJ5IJDIwMBAKhQiC8Hq9lZWVer2+mIXYYq4bgiC5" + "KUVrDFIkHDlyhKZph8NhsVjUlCXTng4UReno6JAkqa6ujuO4CcsZHx8/fPhwMpkkCEKSJJIkKYoq" + "Kyurq6szm82lIucg84zSWgVp7zQ+NjYWi8XQTFdadHd3R6NRnU4XCAT27t27adOm8vJyGFLhhAmd" + "i9IP5nZemEne8sl+UhRFFMW9e/f+6le/evnllwcHB9WfVq1a9bWvfe3CCy90u91F65UnSVJ/f7/d" + "bkcf4FkGZOyenp79+/fv2LFjy5Ytvb29BEGsWbPmkksu2bBhQ2VlZfFomhAEmWfkmdpTEISpJqdA" + "5iWgkX/22Wfb2toaGhpOPfXU1atXOxwOne7/r+Hz7yewPh8dHb3//vuDweA3vvGNk08+OUPShlny" + "qaee+uUvfykIgiAIRqNRFMVEIlFfX3/jjTdefvnldrsdOyeC5EZLMRtmjv379w8MDIiiiJ9fSQAD" + "bnNzs81mGx8f5ziO4zgwsRbzG4Q54NChQ/fcc897770niiJY46HOH3/88X/+53/6/f7rr7/e4/EU" + "24NAPXme/+STT5YsWYJi9mwCPWdgYODHP/7xn//855GREfWnzs7OLVu2XHHFFV/84heXL19uMBjm" + "sJ4IgsxXjjslwRzh9/utViv418xOxZCiRVGUM84449lnn/3LX/7icrluvvnmjRs3Llq0yOVy0TSd" + "Lm/nUxRBEJs3b/7HP/4xOjoqSdKjjz5aW1ur+tZB9xNF8eWXX+7r6zv77LMlSVq1atXY2FhbW9v+" + "/fvvvvvuysrKs846i2VZ7JwIkgONxWySJFmWRU+SEgKGyGg0CgpLjuMcDoderw8EAhRFWa1WsAaH" + "w+FQKORyuUwmE1zI83wwGFQUxWKx0DTN8zx4MaRSKdWyDVleWJa1Wq0cx2lYbUVRBgcHH3vssd27" + "d4uiKEmSGkFEEATLskePHv3JT37icDiuueYas9lcVDOBmuLr3HPPLaqKLQQURYnFYo8//vgLL7wA" + "wfySJEGPpShqfHz8scce6+rquv/++0844YQiVzYhCIIg8x6KohRFOe200+6999677777yJEjjz32" + "2LPPPnvGGWds3LixsrKypqbG4/Hk77sny/JLL73EMExjY+OOHTu2b99eVVWlph2F8G+CIMxms9ls" + "/u53v7ty5UrVBePBBx/8yU9+8sYbb5x00kkulwsD3xAkB9qnQPN4PCaTSZIk3DG7JIC3tmfPnkAg" + "oNPpYrHYtm3bzjrrrMOHD7Msu379eqfTSZLkhx9+uGXLlosuuujkk0+GS4aHh//+978nk8lTTz3V" + "brf39fXt3r07mUwODw8nEglVJ1pbW+t2uzdu3KjhNvGQCOSNN97YvHlzIBDI7mw8z7MsOzIy8uqr" + "r65du7alpYUoviBtmMkwvGI2gZ5z5MiRzZs3j4yMKIqSsV0cy7I0TW/btm3Pnj0rVqyYkokAQRBE" + "E2BSqKiomOuKIMUCSZKCIFxyySUvvPDCwMBAIBAYGRnZt2/fU089VVtbe/nll99yyy2wWstdDuSO" + "isVie/bsWbJkydVXX33vvfdu3rx548aNYCRTU6yFw+FPPvkkmUyOj48nEgm4lmGYyy+//Ne//nUw" + "GISlF9rVECQH2qdAq62thTRC8Xg8+5xgMMiyrMFgmEnaBhRLNARGyTPPPPPtt9+ORCIkST733HPP" + "P//8hCf/6Ec/Oq72hKIoKDPjzJUrV4bD4UAgANuGud3uZDKZSCTAkK4oCmQITyQSYELneT4SiQiC" + "oJagKIper4euBZfkCAiHDHwvvPDCiy++CNOG3W6XJAn2tU8/U1EUp9MJnTaRSEAMEiiPs3uaoig6" + "nS4SiUSjUaPRODY2BnuMu1yuqqqq8fFxcMHK3UTpDWW1WsG+CrVKb7f0v1tbW9UKZEfI63S6cDg8" + "OjrqdDodDsfo6OjIyEgqlYJfrVar2+1OJBIURYXD4Ugkkn6txWLx+XyKooRCIZPJNDY2FgwG1QoQ" + "x3oI/JFRefURqqqqDAZD/psLTNa2k33aiqLQNB2JRCiKMhqNPM+HQiG9Xm+xWERRHBsbs1gssCld" + "MBgcGhqiaZphGKfTCc4X69ev7+/vHxoaIkmyvr5++/bt7e3txEQJBaDbpFKp//iP//jpT38KD6jW" + "KiMZQbatO/0RSJKMRCI6nS59ZzJ4kMHBQUEQKioqdDqd0Wg86aSTysvLFUXZtWtXMBh0OBwEQSST" + "SbPZ3NfXF41GCYJobm5eunQpwzDKp3fS1ul07e3tAwMDPM9XVVWVlZXZ7XadTkfT9N69e3t6elpb" + "W6urqwmC2L9/v8Vi8Xg87e3tsiwLglBfX2+32+G1HjhwgGGY3t7eVCrl8/lkWW5oaLBYLNu3bz/n" + "nHMaGxtDoVBXV1dzc7PRaJQkyWKxtLe39/b2QvsIguDxeCwWSzweHx8fL0T+S9XAov5XlmWGYVau" + "XJkujaTnzk0mk/39/f39/U1NTbBqrKysBN+WI0eOpFKpmpoaq9UaDocFQbBYLARBBINBs9ns9/uH" + "hobMZnMoFIIZjSCIffv2KYqyaNGiRCLBsizHcQcOHCAIgqZpURRramrC4TBN0zCS+P3+WCym1+sb" + "GxtTqVQqlQKVDcuyLMvC+5VlGRSC6pgGrz4UCqWPIfDgFEXZbLZUKhWPx0GRDZtCURTFsiyMh/AH" + "XGs2m9VzcsyYNpttejvnzQ5utzuf0yBPB03TsOUEZDeEXSd4npckiaZplmXhFYiiGI/HU6kURVE6" + "nU6SpDxH7GxMJtOUFjPwLpLJZDweNxgMalgKTEzwsiwWC7xTiqJgPjIYDDqdDoZoyE8OLxoSUxHH" + "xgGdTgdjvkplZeW0H22y+lMUlUwmJUmaxoPHYjGWZSHtBVQMvgUgn6qqo+5kcw2cIAgC1JOmaXj1" + "giCkUimWZePxuNFohDqAmjUej6e7wqWTT/dTFCUSiZjNZkVRZFmmaToajarpwWAyhRdNURR0PIfD" + "IQgCz/McxyUSifxDLKEnn3nmmX/729/C4TA0QjAYDIVCe/fufeSRRzZu3HjDDTcsXbrUarXa7XZ1" + "y5XsJnryySfj8fg//dM/XXDBBa+//vpbb73V2dmZ8bw0TXMcB8swtRye57dv355MJnNvs4ogCDDb" + "tpq33367trZ2+fLlaprEqQKrOtSfaQW8hVgsps40DMPA5McwDEibDMPwPA8bOcACGsQPo9Goihww" + "pcHUq07GyWTSYDDAlNbZ2Zk+NY6OjsJ/IRocDoqiyDAM6E3Tf4K1BSysJUmC46lUKsMUOSF6vZ6m" + "aZPJpNPpEomEw+FQFAW0sDRNqw7DfX198XgcdlgRBIEkyQlzb6rrWsgFIsuy2WwmCILn+Z6eHlAW" + "wOofJt30a2VZhhUtzK8QcQd3V/ctBx1EKpXiOE5dAup0uqNHj0IhUGE4OaNi0KpjY2PwggwGA8yC" + "iqKorU0QBFRYrRKIpgRBwI5oJEk6HA5oZAi7gkfmeT6ZTELcPtxdlTlFURwaGpqS90r2U0z2XBnP" + "mC7xhsNhiKxWFCUajVIURVGUJEnQaQVBGB0dDQQCBEH87//+LzSsJEkffPBBbgUN0NfX19/fTxAE" + "SOzQK0RRBGU/3IVhGFjGqVfBgkk9Al/NhAudZDIZDocZhpFlefv27WrrTVaxffv25ahtdkYltZ67" + "d+9Wnx06GMuysixDY0LdMqq9f//+9MJfe+01s9kMwonVaoW7gOdLui4V+ga0EvFpcTdHzfOH47j0" + "2BCO4yBaxG63qwMI3FTtJ9DOsVjMZrPBkhokFuLYiGc0GlmWhWEEvlmQe3mej0ajHMfxPG82m6F8" + "WNdaLBaQB0DvA5+5oijQRCRJwoCTSqWgk5x44omjo6PBYBD0UGVlZS6XS5Kk4eHhVCoVCoXsdntF" + "RUVPT08sFmttbaUoav/+/bFYLF3TJ8syx3ErVqwYHR3t6+urq6uLxWKg0dPpdHa7PRKJyLJss9ki" + "kQjIWg0NDfF4fHR0FLpWtqoIvuvm5uaampq50lynDyMT9vxLL730uIVQFCWK4ujoqN1u7+rqGh8f" + "T6VSfr+/rq6urq5uaGgomUzq9frKykqn00nT9NDQ0NGjR4eHh3U6ncPhCIVCyWRyGi2gKMrSpUsX" + "L14MX3GelzAM09HRceDAgcWLFy9atAi+u2AweOjQIVCWtbS0mM3myspKo9HY1tbm9/sXLVrkdrtF" + "UXznnXei0ajD4aioqBgfH+/v71e7h9FodDgcg4OD6c146623Dg0NaShpg6a7o6MjGAyCj1j+F7Is" + "u3379srKyqqqKoZh4H0tXrw4FotZLBa/3z8+Pn7cZoQwNL1eH41GJzwZbtTT0wN6QJvNZjQafT5f" + "X1/f4OBgWVlZd3f34sWLKyoq4LPt6elpb28PhUIZHQA65GWXXZa7PhRFpVKp3bt3t7a2gsRut9s/" + "/vjjlpYWmH/feustSZKamppkWWZZtqOjo7+/f+PGjWNjY8PDw5WVld3d3bFYLM/uB083MjKSSCQy" + "9K0EQYRCoZdeemnz5s1LlixpaWlZu3btypUrW1paMhKVwTrqD3/4g8PhWLFiBcdx//Zv//bFL37x" + "j3/846JFi8rKytTBk2VZl8vV0dHR3t5eXV0NC5Jt27bdf//9yWTy3HPPtdlsmKIPmQUURQE1WSmK" + "fpMqBaeBciyx03XXXffaa6/F4/H6+vqf/exnZ599NnFsmt+xY4fb7fb5fNMI54Dyx8bGGIYBja9W" + "NV/IQAf49re//Zvf/GZ8fFySpObm5ubmZpqmnU6n1WqVJAkmA47j7HZ7e3s72FWCweDJJ58Ma8dU" + "KtXW1maz2VauXAnBrhzH7du3r62trbW1taGhgSCIP/3pT6rkma6QTl8ZwwSgui2pnROsZzAZg7GC" + "JMnOzs7Dhw/DVkyTdWOTydTa2urxeE488cTq6updu3aBTdvv9wcCAZfLFQ6HU6mUx+MZGho6dOiQ" + "yWSyWCzDw8Mcx1VVVUFaNbU0EFcsFktDQwMIruBMBRUG1QPI8BUVFYIghMNhdSkAwkxzczNBEB0d" + "HU1NTStXrlR9kgcGBqxWq9ls1ul04+PjHR0dDQ0Nbrcb7P8ul+vFF18kCEK1b4C9PeM9qk1HkiQ0" + "C2g9oG7QaFCfdAnZ5XKdccYZkiRBtm2ocygUqq+vb2pqgpmV4zho7cbGRoZhHA4HvHdYNY6MjOzY" + "sSMYDMJdjtvlKIqKRqOKoqheA6CUSaVSRqMR7DaT9dV0aSH9bzCqm83m8fFxWDTTNO1yucCe88or" + "r+j1elh/kyTp9/v9fn+OJR1Jklar1el0guEI7JMjIyOhUAhsj7FYDMp3u92qQQaaHU4gCIKm6YGB" + "AYqioJx0sVOSpI6ODr1eX15eHolEQBIgJu/GxPFS7qefA98OqLdisRhUPhKJBINBhmE4jvN6veFw" + "WBRFvV5vs9kYhgEnI71en2GNh1e8c+fOyd7CZMDqE3qOJoswmqarqqoikQh0bPgvJIyIxWKgzoB1" + "IfgvJJNJMO6B/YcgCDBwgV0L5GGGYVSBmTiW1ZlhGNCqgF8lKP5AmgVpHIRwMI2Ca4zJZGIYRhRF" + "URTBdkqSpNFoBCE/EAhAPyc/vXEDNIuq7yOOeTqojww1J485cMLu7qp4rF4O/1XHTHh2uBEkRoKH" + "hSOgL4PPFs7neV5Va84yFEWB/gK+iGydKcMw6rOopAvkqjyQ7nGjOtpMqCRVb20wGCAdSWEeLheq" + "bheGqWyFFBxJf4oMZQT0MbXbqOdAS6o3am5u7urqyvAIg0LUFjvu55mtwoP6TyOgRv3cYOpZtGiR" + "1+sdHh4uKysbGBjo7e1VFwOTvUGYfyF3TI7REvp8eiOrbU4c+97hu1PVdjBEQw3he1H3r1IdzSbs" + "USzLprsVwH1VfTGUr37FuZVK+TPh5aA2haeAE7xe70MPPXT55Zfr9Xp43VCNnp6ec84555RTTnnw" + "wQddLhfDMDfeeOP777//1FNPrV27Nl2VfNddd/3qV7+yWCxer5eiqEgk0tXVFQ6HTzjhhCeeeAIc" + "rFDMRgqNJEnd3d0ej0erFcVsor01O5FIpFKpyZxwTjrppBmWzzAMBkxqCAy7IOCBSHb22Wffeeed" + "Xq8XToAVXjgcBi1y/iWfd9556f+9+OKLtaw3QWzduvWOO+6AFGjZsw7Iby0tLQ899NBpp50GB6+/" + "/vrJSpNleWBgwGg0ms1mUOVk7JYxt1x55ZXwBzzscVXIqVRqqtlHZ8IXv/jFKZ0PclH6plngxgwS" + "jsaVI4gvfelL4McLstZ99933+uuvq053GUAF1q1bd8cdd1RXV9M0XV5ertfrR0dHw+GwXq9nWRbE" + "bNBDpTcy+HSoz+X3+xmGMZlM2Q/V39+v1+sdDkc0GgXVgFYPCx+sXq8HMbu8vNxgMEQiETCegy89" + "eCwbDAYwQWdUO4ODBw+mr+PT75KhhFJtawzDtLe3RyKRxsZGo9E48zwdFEVVVFREo1EIeYCXEovF" + "xsbGtm3bBmY9QRDq6urWrVtHUdS+ffu8Xm97e/v4+DhY4Pv7+w0GQ1NTU3d3NyhZKisrQU52OBwM" + "w/T19Tkcjrq6usrKSnitH3zwwdDQUE1NTSAQcLvdnZ2dgiAsWbLkyJEjPp+vrKzso48+ikajp556" + "anV1dSgUGhwchD1mKYpat25dKpXav3//yy+/vHjxYsgXDa6kaiuRJDk8PByLxaqrq5PJpCiKDoeD" + "pmlwJg8Gg3AVyAOgTQCXHPD0TiaTwWCQ53mn06m6H5Mk+fHHH8Mq3+FwVFZWQjJLi8UCq/De3t5E" + "IlFdXW0wGERRbGtrGxwcTI+MmB3ALgqzjMFgCAQCYHdNr4bT6ezo6IBdJEC7ShCEKIomkwl8WVXX" + "KnCkAsUKx3HxeBy+O1V7Cw2udleDwVBXV6d6u8A54AUwJbEhHA5PY/tS0F5BZAc4t4MrNSjsFEUR" + "RTESicAR1feEIAjwitfpdH6/X6fTOZ1O0HGDY1QsFjMYDOPj48QxoRGylmbcGiSoRCIBzmj5iNmg" + "gcq9u2eeD06kyZxjY2PgbdHT00MQBJhPwDUAnOon9EmG1ssRtS7LMvQZnudpmjYajelpWYljJnGO" + "40DbC0cgig2itwwGA8uykUgkkUiArocgiOz6gI9JTU1NR0cHz/MQgwCucOqkoKEdSy0wGAzG4/EJ" + "S4aD0J3gxfX09EAPUU8gSfKPf/yj3+9fuXIlaAMVRfnqV7+6ZcuWp59+GvT76rtWs9xDvluKohYt" + "WjQ2Nnb48OFnnnnm9ttvV63fCFI4aJqur68v0Z6m8Soc9Pc5XHlhOp9eY8FVNpttRlVEPo1ybEMv" + "q9U6OjoKSlxJkkAFDprgWCz20Ucfeb3e+vr6yaSg7GDs7BNmWNX06GVYyJ511lm9vb2QyypjxU8Q" + "hMvluvTSS5csWaI+S3o9M/6gKArWfIqiVFVVEcd06vnUZ3o/TUn2UItSddK5528QmfK5hSapCqf6" + "cmEVknHr/Os8GRnNrhbl9XoVRXG5XGpvf++99yAkL6MZQXq02Wznn3/+unXr1Lz6iqJ4vV4Ick43" + "H2V0Elgoq0cg1HbCjuTxeIhjsfEgAk37qSdDtaBMzYIAACAASURBVJ+ASKPG3cEqk0gzmmVUOwNw" + "wZgqjY2N06z3JMB7URsK/uv1etW0BemsWLGCIIgzzjhjJndcvHhx7hPAUSsHl19++Z133qlGBWcD" + "b2facyIxkXMBrPjBpJadYyLjGwHzu+bCQD6AWEIc8/rOrgZN0x988EEwGPR6vX6/H7xUAoFAS0uL" + "1+vdvXv30NCQoihGo9FisdTW1kImzvLy8o6ODrvdvmTJEpA2M9oHjnAcp8axQ1DS6OgoQRCQ2iCf" + "BgGNxpEjR6axfakkSWazGebc2tra6upqjuOcTif4TcTj8f3795eVlVmtVhi1iGPzV09Pj9PpfOut" + "t+x2+6mnngoBO16vl2GY7u5ul8v1/vvvEwQBIQwjIyPZiUggkce+fftWrVqVz/YfiqL09PR4PJ50" + "NdxUNQsZV4EReOvWrZIkhcPheDwOL2LDhg1VVVXxeHzPnj0rV66ccEvFdPP+hL/yPN/f319fXz8w" + "MGAwGFasWDE0NKQ6wREEIQhCdXV1VVXVxx9/DPdVFGXTpk0DAwOHDh3ieb6urs7lcu3bt2/v3r2g" + "rRNFceXKlelbb4Jer7KysrGx8aWXXorH4xaLBaI/jEaj3W5XH5nI8lOYtlkb+v/DDz+sRuukDx06" + "nc5isZhMJpfL5fF4ID3tV7/61QyncUEQPvzwQ57nGxoa+vv7IRKKIAiKol544YULL7zwzDPPVD0d" + "mpubWZa95557rrnmGtUN4ZVXXvnhD3/4+OOPn3766Zs2bUKDNqIh0LdV/wuV0u1jGovZoEG3WCyg" + "1cs+Yeay1vTGdyQ3GTIqLIDUEdxisaxZswZ0mTnsqIXOh6GWrzra/eu//uvw8DDszAQqf3XN6nQ6" + "r7vuuquuugq0rel1Ti8nvUDl2K5Oqukgz/pM76fpNVeeloT8P5M5yWKS/RTTtpCkk/Es6n9BuweB" + "/TRNX3nllZ2dnW+88Ybf78+QtEEyWbZs2apVqyD8QY2ZB2k53RRJZiWJyGh2tUdlVxWWv9DxMszC" + "mpBeT9WfVtU0qedkm6mzmV5sZw5N0/RQnyjjv+mtl/6wcET5tEutepD49GI3/YR0NU2OllGDRNJv" + "ml4TKBPCKyb0uFErrLZwxjicrTpU0lxPiUlEDlWkhw6fcQ7cUa0P+MlP+ICzQLqUm10NRVFyKErO" + "Pffc7IOgKq2vryeOZ0iUJEk1IAM+n++4V2Wwdu3atWvX5n9+btRb6/X6008/PeMgsGTJEkVRrrrq" + "KuJYZ7Db7XAO/HTJJZdoVZ9Cc9111xETzQKzA0mS6Ro6RVFqamrWrVun/rp69ep8ylEU5Rvf+EZB" + "qvhpSJKMx+OvvPIKkTZ0w4QFCc/cbve6des2bdrU3Nzs8/nUcSB93KMo6tChQ4cOHUokEj/+8Y+j" + "0ShoryDGLRQKPf300ytXriwvLwfbQ19fnyiKoN8EcZokSfCtu/3223fu3HnKKadACCcuy5EZAgNa" + "d3e3oigNDQ2l6B8+Idr7lEYiEVU3WQjmR7sXG8dtVTX1UZEAUpPb7b7zzjuj0ei7774L2b8VRWEY" + "pqys7Jprrrn11ltra2vztBSln1ZUT5pBnnUr5kcgJqpeQSushqqCWLts2bJHHnnkgQceePbZZ4eH" + "h1WFIASLejyeG2+8Ud3Na0q9IuOcHJeky7qzo+nIcZfcjza96s2a+mbCG2ly96kq2ibzcQWv5pnc" + "aEqoovhk9UnvcnNix1YB19bJbHrksYj0jLhr0HzBT3BmegyzWlpuFU9G0n712ikNRMqxaN7pkRFG" + "nn7r9CQm2ZUURTEajer1ejW4Pf2nbHeeyW6dXiwx67NG+hgIf6iNOVVvr9x3yS4qQxlHEIROp1N7" + "VHacPzFJY8K7y46RBI2bhsInROL87W9/+8Y3vhEMBmHvA5qmHQ6HzWbbuHHjtdde6/V61QAl1YUq" + "/SuAZ3nhhReGh4dra2sTiQRN05AjnabpsrKyw4cPb9mypa+vT/XJHxsbkyTpnXfeOeOMMyDlJzwR" + "7NeAmcYRzQElaXHK2NOz8mrvNJ5hXkBKAjUmNsfcVmx+BDAFNjU1/exnP3v++eeff/75bdu2jY2N" + "VVVV3X777ddff73D4ZjqsgmZ95AkKUmSw+H4zne+43A4nnzyye7ublEUrVYreADecMMNkEOVKHpt" + "BVL8zH4XypbZsn+d7L+zT+7apmfyhz/S/zvDVf7MmyLbn2WqTPYIkx0nj+1jt2/fvoqKioaGBtW/" + "DP5NdwrIX7kDqsY5zxqd3pgainB5NnJGj8rub5OVn90HUqnU+Ph4eXn5ZE0aiUQgfUZ+T/B/EvJb" + "b71ltVobGxuvueYaiJpZuXLlokWL1HgrNaXihN2SJEme5w8dOqTX63/wgx+cf/75aui1oiipVOrO" + "O+/8wx/+8OqrrzY2NkLAFEg7akwH5EXr7e3duXMnZHwoRC4VZGECXbFoN5hUFAVyRkw5RlLbepAk" + "CWmBGIaZkxyeyFSBnl1fX2+xWHLoR+Z8NTYh4OBtMpm+8IUvtLa23nrrrX6/f8mSJaeddhqY34uz" + "2sjcAlmmnE7nPffcEw6Hf/vb346NjZ100knf/e53TznlFNXMhZ0HQRCVIlE0Qx2cTueEuRWnCjyU" + "3+8nSdLlcqH3ryZQFJUdXAoox9K/5RDCJyyQIIjvfve7t9xyS0VFRXo6D+XYpvG5vWZAUN+xY8c/" + "/vGPc84557TTToN5UP2VZdn77rvvvffe++Mf/3jFFVc0NzeTJOn1enU63eDg4M6dOyHCQqfT/epX" + "v/r73/9+8sknn3/++RaLhcC5EtGOaQyzyWRyFvb6gm0jYQeiKdVQe6dxNGWXFiCLms1mVa+ZTvHL" + "G+SxPVGWLl1aV1e3b9++3BGVCEIQBATEwtoCliannnrq8uXLCYIQRXHOrToIghQbgiDM3IQ+c2Bo" + "mtK21cCEszn8F1J2oYytFQzDZOR4V1ENG9MotqysrKysDKzWcAS8uPO3ij/99NM8z2/cuNFisaQb" + "vcFi4XQ6r7jiiqeeempsbAys4k1NTTabbcuWLVu2bFELMZvN69evf/jhh2tra4niXh8iJcc0utPA" + "wIDH4ym0GZxhmLq6umlcqL2YXWiNgpK22TJxPJcz5LiAjnPnzp1DQ0MZMXvgYsTz/JS8m2Yf8ljO" + "NjU95sx9+ZB5T7azg7pewfEEQZAM+vr6zGaz2+0uhvFhGhrwRCIBqeayr8on5TgyJXLr+qdtCcgn" + "10MOnE7nxo0bN2zYYDAYJlS43HnnnSeccEJLSwukJlm6dOlVV10VCoXUu+t0us9+9rNr164F1Uwx" + "fAuIysK0MNXW1s7Ogr8oYrNnAVEUYdNXyHSi0+nUmWMBdi+tUDNbZJBKpWKxGDRykTcvauKRmUBR" + "FKpmEATJpggXr1OqDyjTjxw54nA4vF4vzpWzQO4Wnl77z2SVC7Pb9773PUmScni9six7wQUXqL9W" + "VVXdf//92aelp+5DigdBEBbg/mrpWqeCjtXTK7mUxGxovn379m3bti0Wi0EGLIvF4vF4mpqaqqur" + "IfnhXFeziEjf4Oq4Z2YcgUusVmv6XpEIgiBFSBEKQsi8AbpWQ0PDXFdkmkD9y8vLwYaJX8qCBRzF" + "cyiUIcdeeur+7M0ISZIsfrvLAkRRlJGRkfLy8vR9ChcUkiTF43FNklZoiPZiNnyT6o4amn+Kv/zl" + "L5999lmapnU6HexDIEnS0qVLr7322osvvtjtdmt7u5KG5/lkMmkwGKb01aW/MhhkYVzGURVBkCJE" + "luVoNMpxHPq+IoUDfOhKcR6EOldVVc11RZA55rgdmPz0Nl1TCvxG5hyTyTTnySPmEEEQ/H4/y7JF" + "tRLQXsweHR0Nh8OQoaGsrAw25dNwZkokEgaD4aabbjrhhBMgScOuXbv+9Kc/fe9737NYLJ/73Ocy" + "Oln23Y9bH1VNQEwyKuUIi1Kvzb4wPaq80LO1uoVmKpXiOC6fR87+G6KA4vG4LMtms7n4R9viT9iG" + "IIjmCIJw6NAhj8fj9Xrnui7HJ5lM0jRd/MMpkkFRWUimAc6PGlKi7jOlWGckT0iSdDgcc12LuUSv" + "10NavqJCSzEbXE3a2tqGh4chB1VLS0tlZaW24xHkAT7xxBMvuOACOHLRRReZTKb/+Z//2bFjx4YN" + "G+CO8BNs9KdmBwUZGLb+U3OnZRhvFUUBIzBsJQ1mc3V+hRNEUSSOxTOnlyDLMmwwSBCEmpQr3fcG" + "LiQIIjvaOV22z6hYxk9EHvM9XGsymWDzw+OeGQqFYAM2mqYtFgtYv+EneITiX2GkUime5xVFYRhm" + "IevzkOmB+yOULhzHnXjiiSWxgpRleXR0FIJxSqLCyLwB+5uG4IYUSBFSotofDSnCFihIpvF0sbNA" + "i1cQaEFm1ul0y5Yts9lsBw8eHBkZqaioiMVig4ODer0+Ho93d3f7fL6GhgaO4wRBCIfDXV1doiiy" + "LGuz2SorK41GY7ok3NfXt3v3bkgWYjQay8rKVq1a5Xa7YcODaDQ6NDTU3t5O07TT6XS73RUVFRzH" + "kSQpSVJ/f/+hQ4eGh4clSbLb7S0tLdXV1SCy+v3+AwcO9Pb2gpC/dOnS5uZmo9EIj6Moit/vHxkZ" + "SaVSBEFYLJb0ihEEoWouxsbGOI7zeDwGg+G4rZSP9hrk+Z07d46Pj/M873a7V69eXV5erl4I+yIW" + "M/CYR48e7e/vj8fjjY2NZWVlJerdhyDINCiV752iKJ/PN9e1QBBkRvT393s8ngUbBIsUJyUxCRaU" + "ImwB7cXsyUyymqPu3iTLcltbWywWq62ttdvtFEXt2rXrhz/8oc1mi0ajBw4cOO+88+68806Px7N9" + "+/bXX3/9zTffTCQSNE17PJ5rr7323HPPdblcJEkqirJv374f/vCHu3btAmVBIpGgKOpb3/rW9ddf" + "b7FYRkdHX3zxxT//+c8HDx5kGEav17e0tHzta19bu3atXq/v7e29//773333XVAuxGKx008//a67" + "7lq5cmU4HP7Nb37z29/+NhQKsSwbDAZbWlruvvvuDRs2cBwny3JXV9czzzzzxhtvxONxQRC8Xm96" + "xSKRyI9//ON4PG4wGLZt27Z48eJvfvOby5YtI7TLZilJkhpOn5F1vPg9zUB9NTw8HIvFCIKorKy0" + "WCzFXGGkSBAEIR6PS5JkMBiMRiM6QZQu+L0jCDJr9Pf3u91uFLMRBMlNKWUaByiKEgShu7u7vb0d" + "ZOyurq6//OUvBEGcd955Pp9PUZRAINDb2/vuu++uWbPmuuuuO/PMM8vKyj744INvfvObIyMjp59+" + "ekNDgyzLb7311r333hsOh2+44QabzTY0NPT973//448//vrXv37ppZcaDIadO3c+8MADL7/88skn" + "n7xq1arHH3/88ccft9vt1157rcvl6u7u3rx5849+9KP77rtvzZo1Tz755FtvvfW5z33u5ptvlmX5" + "oYceev3111evXr1o0aLnnnvu5z//eVVV1YMPPtjS0vLoo48+++yzf/nLXxobG+vq6rq6uu68885P" + "PvlkzZo1TU1NY2Nj27Ztu//++yORyPXXXw8V27Zt29atWz0ezymnnLJ8+XIwZRdiZQkOAul+F6Wy" + "fgWdjqIohfOhQOYNqmrmwIEDfr+/trZ22bJlqJ1BEARBjsv69evnugoIgpQApSdmsywbjUb/67/+" + "6+mnn4Y04319fSzLXn755c3NzRCJDUHRy5cvf+CBB2A0FEXxd7/7XX9//0MPPXT11VdD+plrr732" + "a1/72m9/+9slS5Z85jOfefPNNw8ePPjVr371xhtvBE/pc889d2Bg4J133gFf7ldffdVgMDzwwANn" + "nXWWTqcjCOLhhx9+9NFH//GPfzQ1NbW1tVEUtW7dOp/PR9P0vffeW15evmjRIp1O193dHYvFGhoa" + "6urqqqurv/3tb1ssFrPZDMnSH3/88b179953333XXHMNFHvgwIHbbrvtySefXLJkyZlnngnmZavV" + "etttt33lK1+x2+2aCwNq+DfP88FgEBKnlZbIkZ5brrRqjswVkiTxPC/LMowYxe+4gSAIUrQkk8mS" + "WzlMjyIMAUUQpAgpPTGbIAhFUVKpVDAYhDRjZ5xxxrXXXnvaaadZLBbYcgPsmevXr6+rq0ulUjqd" + "bmho6MiRI16vt66uDpKcEQSxZMmS008//fHHH//ggw9OPPHEgwcPkiTZ2NioGrUURfn85z9/8cUX" + "w5Genp4VK1YQBAGGdJ7nKyoqLBbL3r17h4aGbDZbLBZ77bXXGIZxuVxOp/OOO+6w2Wwsyy5dutTl" + "cr311ltOp/PMM8/0er233HKLw+FgGGZ8fHzv3r3l5eW1tbVQMZIkly5detlllz388MNQMXjqJUuW" + "nHLKKSaTqaAb0FMUBbnfClH4lJiezKMoSigUSiaTaJlEjgtqZBAEQbRiaGiosrJSr9fPdUUKDk4c" + "CILkQ+mJ2bAy/spXvnLrrbfabDby2C5/2X7Cer2eZVmWZUmSFARBUZSamhqn06larkiS/MxnPvPy" + "yy8PDw9HIpGPPvqIZVm3251uEdXpdJBPiyCI3t5ev98/OjoKhYyPj3d2dkYikdWrVxMEsXHjxoMH" + "D27evPmll15iGKa2tnbdunU33HDDypUrzznnnLa2tpdeeun3v//9448/7vP5LrnkkiuvvHLZsmWH" + "Dh0aGRmpr68vKyujaZqiKFmWCYJobW11Op2Dg4PhcBgq4/P5wI6dETutLQzDgGqgQOUTecvPkOVu" + "GjL/7t27+/r61LeGIJNR6K8JQRBk4VBeXo7hygiCICqFFbOzd6KaOaIokiRZVlam1+thiQy5u9Mz" + "nAMZ/1WjjtMPCoIABnCSJC0Wy+HDh4PBoGrKJklSFMVYLGY0GjmOoyjKarWWlZWZTKZEItHU1LR4" + "8eLh4eGLLrqopqZm8eLFa9eu/eSTT7Zu3bp3796jR48+8cQTyWTyrrvu8vl83//+9y+99NI33nhj" + "z549Bw4cePTRR/fv33/fffdBtbN385IkCTZII0nS4XCAEVsUxULbmWVZBjfawt0okUgQBKHX6ye7" + "BbR8JBLx+/1ut9tsNk9JEErfzg0lKCQHsNcARIhgV0EQpIQowglO3TwFQRAEIQohZqsyKkEQkDdb" + "85kAoq/V7awh0HrCmpDHNsdmGIZhGJ7nYXdoVRJra2uLRCJms5ll2Q0bNuzcubOrqyuRSBgMBjhn" + "375977///mc/+9nm5man07l27drvf//7apbvVCq1Z88ej8fDcdzo6Kjdbj/vvPPOP/98WZYPHjx4" + "yy237N+/f2BgwGKxxGKx5cuXr1mzhiTJrVu33nHHHW+88cbZZ5994YUXWiyWZDIJFVMbEPzeOY7T" + "6XQOh6O2tranpwcE1ILOrDzP+/3+VCoFfl/a3guWBZAPHPzec5SfrhaZ0nqiEModZJ4BoSVWq7Wu" + "rs5gMBTbahVBECQHiqKMjIw4nc7J1j8IgswzilCzhhwX7S2WkMQL5BzwiNa2W9A0DbnEk8lkntKU" + "oiher7ehoeHo0aNtbW08z8MW2dFodO/evaIowk7RGzZsqK6uPnLkSFdXVyqVUhQlHA7/+te/fuaZ" + "ZwYGBhRFaWlpOXz4cF9fH8/zqVRKFMWtW7fedtttf/3rX0Oh0M9+9rMnnniit7c3mUyKolhXV1dX" + "V8dxnKIomzdvfuihh7Zt25ZIJARBWLVqlc/nMxqNoiiWl5fX1NR0dHR0dXWBaC1JkiiKhw4dSqVS" + "TU1NDocDHgF+LfQ3xrKsy+XiOI6YXMaethALBbrdbrfbnSO8HI47HI7FixdbrdapBtBiwC2SPxCj" + "gXoZBEFKCFmW33zzzWAwiGMXgiwQwHI517VApoaW1myQbSCyF+yQNpsNzMIaij2Qc3v58uUOh2Oy" + "ksHXWt1YW1EUiqLOOuusjz766Pe//73Vam1sbCQIYtu2bR999NHSpUtra2sJgli1atVZZ531u9/9" + "LhgMXnzxxU6nc9++fVu2bGltbfV4PARBbNiw4Q9/+MPf//53u91ut9uj0egvfvGLsbGx8vLySCSy" + "c+fOjz/+OBgMXnjhhWaz+aOPPtq5c6fH49Hr9d3d3a+//jqoBnw+X3t7e2dnp8vl8vl8LMuuX79+" + "27Ztb7/9NmRo43l+YGDgz3/+s9PpXLp0KcMwMI+q1nsNyTb8Qla53DcCN/tpVybP/gB1m4bMjGI2" + "kj/QVQRBAL8b7DkIghQ/NE2fe+65U42oQhCkFIHF8NjYGGSGwq++hNDeaTwSiQiCoNPpRFFctGiR" + "y+XSSswGgfCkk04aHBw0m80TFqvaSxsaGqqrq8HzGaTuq6++emBg4KmnnrrnnntcLhdBED09PQ0N" + "Dffee++aNWug/H/+539OpVKvvvrq+++/D8L5okWLPv/5z9fX15Mkefvtt9M0/cwzz2zevNntdnd2" + "diaTyS9/+cunnHKK1+u96aabfvrTn/785z9/8cUXy8rKenp6RFE888wzq6urv/KVr4yPjz///PMf" + "fPCB2+0eGRlxuVxf/vKXTz75ZIZhLrnkkt27dz/33HPvvPPO+eefHw6H33nnnUgk8vWvf72lpQWe" + "y+PxdHd3p1IpbSUByAyX7nUmSVIsFoNk5hO+ApIkg8Egy7Imkwkk7am+3zxPnvaTQvB8/jdCFizg" + "1QIuJPAtENhtEAQpBWAZgyDIvAeWJWARREoLLcVsELfA3To9wFir8kHuuvLKKzdu3FhZWTmZHZsg" + "iJNOOumxxx6z2+0gbkHdKIq64447Nm3a9Itf/GL79u1Op/Omm2665pprfD4fcczoXV5e/uCDD958" + "881+vx92062rq7PZbFCI3W6/7bbbmpubn3vuuWAwuGHDhvXr119wwQWVlZUEQVx22WWLFy9++eWX" + "wed8+fLl559//qmnnmo2mwmCuPfee0866aStW7dCKPgNN9ywatUqyCvudrvvvvvuxYsXv/baa889" + "95zP51u1atU555zz2c9+VnWZvummm2666aby8nKtGhPe0eDgYDKZrK6uhhagKGp8fPzjjz/etGlT" + "eXn5ZIIuJJxTy1FTtWlVtxlisVgm27oTdneD1PTIQgYGhHg8Dp+AwWAgCEKSJIh5QRCk+FngkYoL" + "/PERZKGBn3wpUtg1pbYdQnVKh32tcp8Jbt4Z18qyfMIJJzz22GPJZFKn0zEMA5GZaqY0cFSuqamp" + "qamBC1UxEv5rsViuvPLKK664gjgm9qt6BFmWly9fvnz58vT7qr/q9frPfe5zcGH6cdharKqq6rbb" + "bvuXf/kXyD0GluR0l2mfz6f5BwaPBpnbIO83bJoNKdwnvESNmlaPiKIYDodNJtNkku3s097ePjY2" + "pmpP1OOKooyOjlqtVqvVOne1Q4oF2GLd7/cnk8nu7u5PPvlk06ZNNpsNZzIEKX7AFQV2wZzruswN" + "OEwhyIICP/lSRMv5iSRJQRBisRj4YRIEwfO8JEka3oI4liI4t5E8XfpNB6zHkiSBJAl7g6VP0vBf" + "kD+B9BNA6IWttgiCEEURSoCuDxeKoigcQ90qTL1Q/Yk45squFiuKok6nM5vNNE2nXwu3hkfW3DWg" + "vr5++fLlBoOhqanJZrOJomi1Wk844QR18/AJr82ohrY+CzMBqjHZTsgkSVZUVIBzAbLAgSGisrKy" + "tbXV4XCQWZvqIYUGWxuZCYIg+P3+RCKBHQlBEAQpTjSzZqt7Hff09Kg5wLu7u/1+P+Qb0wqSJPNx" + "+p1Mw60qvymKmqycHNpxkiTVnGTZl6d7U0944XGLBV9WKDl99VAghb2iKGDHVp+F5/lwOMzzPLTz" + "hPdNF2IZhnG73YWo2zQ4bqgC7n2SzYI13pIkyfN8MBhUo7KRqTLtaHbYT4FhmAVrikRmCE3TBoMB" + "ozwQBEGQokWzJQ6Yg5xO55IlS9SI6P7+/lAoVGyL+JlnEdM2D1l6saqJW1GUVCo1oS+AtjZtjuNY" + "lgXRlKKoaDTa3d0diUT8fn8sFsvnXnMuokCLGY3GHJuEIZMBvhvq33P+NmcHeMyhoaEDBw4EAgHs" + "NtNDlmVRFNX+kw/Q8vF4fGxsLP9NGREkA5qmrVZr8QQrIQiCIEgGWloS0l12YeazWq2woZeGd1kg" + "pFKpzs7OcDic0XrqBtra3i4cDguCAEI+uPrnnypszlc50N98Pl9ZWRlI2nNepRKit7d3dHQUFDqy" + "LPM8PyWpqaShaZplWZqm9Xq9yWRCy1j+wBAUi8X8fj/P8/mPSPBtms1mj8djMBjwU0WmDS4tEARB" + "kGJG49hsgiBcLpe6eGptba2qqsK5cBqQJMmyrLpjlnqc5/lAIDClde1xb0SS5NGjR6PRqCRJBoPB" + "6/WazWan02k0GjVcBAuCMI1qy7KcSqVyC35gg+3s7BweHoZbYJfLB2ioDz/8cP/+/clkkiCIaDQ6" + "NjYGmwXMde1mA7fbXV9fb7FY7HZ7dXW10WgkikBtVBJAK+n1eqvVOg0vEjVjRWFqhywIsP8gCIIg" + "xYyW1hs1q1Z5eTkkG1vIWUBnCMdxixYtyj4O8o/mUpDNZmMYRqfTRSKRtra28fFxj8ej7TZdoVBI" + "FEWHw8GybD7FQsxwMpkcHx/PLfPDmQvKDKsJ0J5XXXWVesRisZjN5qLanq1AQCZFlmWdTifLsoOD" + "gwcPHgwGg06nc8EGq08DlmXnugoIgiAIgix01PxWc12RT6FlbcCZec+ePT09PZIkFdujlhwTOofr" + "9fqysjK9Xq+VJAB3Wb16tdPpVDOfEwUwFJSVlVVWVuYfSqdGXPt8PpPJlKdkPtNaLjwyMu1Nlqd9" + "vqIm8SI/vekAgiAIgiBzRfGv6FS7FzpRFgOiKPr9fs33t5ohGluzCYIoKysD30tkhky26NfW2gZF" + "gauwWr5Whc8yU2oWGBbRc3WBPz5Qun0eQRAEmceoaUrzTJczIdlmG1hhZi8A0rOiEsfSG+cf5pMt" + "c6bvXHvca9PPiUajJpOpONXfk+VIWgjOJBhkOgAAIABJREFUgEWLLMuCIEiSNJMvRXO0dxr3er0u" + "l4umaZ7nQ6FQMpnEPqctGrYnRD7rdDpIgaZVsbMMNIjNZoPNyfK8ShTFaDRqNBo5jitk7ZCipnh2" + "fUcQBEGQDCKRSH9/v9PpdDqdIMVNVfKUZXl4eDiRSKhHSJJ0Op16vT472W0ymRweHpYkSZIkRVES" + "iYTBYDAajW63W00YlANRFMfGxhKJBE3TUILdbjcajZBtNHclQ6GQ2WyGjVcVRRkbG2NZtjhXaKFQ" + "KBAIjIyMcBwHuYrdbje8o+Ks8EKA47iqqqq5rkUmWorZsixTFLVr1y7VaXxgYCAcDmt4C0RbBEEY" + "GRmxWq1lZWWlOzSAfmfFihX19fX79+8/rtIUNKbhcHjHjh3Nzc21tbUEGnUXHrBcSCQSoijOdV0Q" + "BEEQ5FPAorqjo+M73/nO0qVLL7zwwoqKisrKSrvdnqd5GVY7nZ2djzzySGdnJ/xXlmWO404//fS6" + "urpVq1ZVV1dDlg243f79+x9++OFoNKooiiRJY2Njdru9qqrqsssu+8xnPmOz2XI7Wu7du/c3v/nN" + "0aNHjUZjLBaTZXndunVNTU2nnXaax+PR6XQT2s9JkhwYGPj9739/xRVX1NfXgzahvr5ek2bUFqjt" + "U0899frrrx86dMhms/X390uStGTJkpaWli984QurV6/OMwMRoi2KovA8X2yNr73TuNfrtVgsBEEo" + "ilJTU2O32zW8BaItLMtWVVVJkmSxWGCcVQdQUJRM1lnV4/lbAtWSM/yCMkogj20bnvFf9Y/0n8Cd" + "CY6IoiiKouqwBBPGhEnRoCi73d7a2mq1WjPulZsJa5v+XGqsb/bB3EVlFJtx1bRHjYz6THjClB48" + "+wVpUs8CMdnjFyiV4EyqhCAIgsyEeTa6woMsW7bMarU+8cQTf/rTn8rKyi6++OJNmzY1Nzc7nU6w" + "D+ewMMNC6Be/+MUzzzzDsqzD4SAIAtJE7dq1KxgMnnfeed/61reWL1/OsiysnQYHB9977z2TydTa" + "2sqyrM/n6+vre+edd958880HHnjg6quvzu3I/d///d9//etfXS4XSDsgkQYCgauvvvrf//3f6+rq" + "siVtqOR777333HPPnXjiiT6fD3avgOOataZGQOU3b968Y8eOTZs26fX6k08+mabp4eHhl19+ube3" + "95FHHmloaPh/7L15fBvVuT4+Mxrt+2JZtrzHdhI7ibORDRISEqAJlKWQ9NLbAgXSUlpuSAuFe/kS" + "lrZAy3LLFsLSQgsXGghhKYFAGgOBbGQjiR07ifdVsvZ9NBrN/P54fz6fqSTLsi3bsq3nj3yc0cyZ" + "M2fOnPOuz5vQoJAEWe7VkYOmaZvNZjQaM4qcNf1B4xUVFXl5eSRJ0jR94sSJrq6uGTNmpPEuWaQR" + "OI6TJCkUClmWBY2UZVm3222z2TiOk0qlYrEY7ENCoTAQCAiFQolEgvXnCA2JaQAZX0CBR6snqMcY" + "holEIlhVCYJADkZg5AqHwwRBkCQZDodRcDsUPWZZlqIogiDOnj3b09MTCoWi0ShN08FgEB4Quorj" + "OKLlEwgEcCONRsMwjM/nEwqFYrE4lQWdZdloNIrUe5Ik+Q9CEARUwxKJRFCEGQ7CCRCFxbIsSZLR" + "aDShExV+gssjkQi8lGg0qlAoUh/qmA4D5/9AKzj0cNBnj0QiDMMAHT1MCWhWKBTCfymKkkqlmWZH" + "RI+P/btFAAwxAoFAKpXCm+L6K9IPZBZJe5f4tpiEd0QpbfwT+EX+BrqKbwrhm36GJ4PCJExoWYux" + "ucQf53cmYbbeUJlj4u+YgXIYHynasBJa6DBeYmRCY1bCS9LevdEDejT0LxZn0csii9QBq+tQNZyM" + "BaiaQqFwy5YtTqfz0KFDPT09p0+ffv755zdu3Lhhw4aCggKFQgGcuElWQnBN//SnP/31r38N35pc" + "Lv/ss8+effbZPXv2UBT1xBNPlJeXg1wkFAoJgrjlllt+8YtfqFQqaOHtt9/+n//5nz/+8Y8LFiyo" + "qalJqBPCQaVSSRDE//7v/y5fvhw639PT8+KLL/7jH/9QqVT33HMPsO2iFliWhW1i3bp1l1xyiUaj" + "AR0JLQsxnhWMt5uAvSBeaecPIPbvK2TM3gFH4ocO9Sp+MEGSLC0ttVgsDz744PTp09FPDz300Nat" + "W7/44ouCggLY4kGoiImWj1/bOY5jGAYuSSVIYTIhjfYFkiRVKhVIdJmD9PeGYRg0y/l/Z5GZgPld" + "X18PBbc4jvvyyy8xDGNZtri4OCcnB+xDOp3u/PnzUF4YwzAwZwYCgYHE/fjPZuXKldCs0+mUy+VS" + "qRS+LofDYbFYOI4rLi6maVoqlUqlUpfLBSuUXC6XSCQtLS1yudxgMDQ1NfX29oJGpFKppk+f7nQ6" + "29vbhULh2bNnOzo6WJbt7e3dv39/fX29z+fjOE6j0UDpJq/XC1YDvV4fCAQkEkkkEnE6neFw2GAw" + "lJeXy+XyhD0HQG99Pl8gEBCLxRRF0TSdk5MjlUrhKofDIRaLW1paaJqeNm0axHE4HA5gBGQYJhgM" + "Op1Ov9+fm5vrdDrdbnfMLUDz9/l8BQUFOTk5YDXAMMzj8SxfvhxOEAqFQyo/TlFUIBCQy+WQFBD/" + "dA6HAzKvBmoBHryvr6+rq6u4uFiv17Ms297e7na7DQZDbm4uTdPt7e3t7e2zZ8+G6ZE5m0QoFAoG" + "gxUVFW63myRJsFZANhqUoG9rawPyP6vVWldXB8Yg1H+BQKBQKKDQHQw7kN4Hg0HYhofUGRjJQCAQ" + "DoflcjkIEwKBQKPRJEzZiEajHo9HLBajanY4jodCoUgkQpJkwlQ31D5JkjKZzO/3B4NBtVpNkiRF" + "UTKZjKbpcDg8pOp3eXl5YHTDMCympjpY6OLNbeg4XAiWMv4dOY4D21YoFBrSHiGTySKRCLK14Tiu" + "UCgSmq4hOTB5TW+w+oFonkraIVwC8lDCk8F2xrehoHfEFyaQ2hyjPMcYROJ/grHiS5b8S4Y0khDs" + "kzphD1+lH4ZgNJBcHn8mekYsKQ/okO4+SkjjQse3MiS0XvFnRUKrRMLujbbRcCDwX3dCOxGywQ00" + "57GhTzNY/UKhkMFg4EfP8W+KDcUslZb3G3/TGNvZoO+RYZiZM2c++eSTP/nJT86dO4dhmM/ne+GF" + "F2pra1etWlVRUbFw4UKz2azRaJB9IabnoMjl5eWJRCLIx+Y47uqrr77ooos2btxYW1u7c+fOO+64" + "AxZ5xFfCcRyQKxEEccMNN/z1r389cODAyZMnp0+fnrDYDdwFGpHJZGq1Gg5WVlY+88wzDQ0N77//" + "/s0336zX62EVhcXH6XSKRCKFQqFUKmOs3hiGRaNRt9tN0zSGYVqtFvh3gsGgy+XiOE4kEoFajjoD" + "Cd69vb0+n49lWaVSWVZWBnsQhmHhcNjn88lkMp/P5/V6CwoK4EklEgl/M+U4zuv1SiSSJJVxxGIx" + "bK/hcBjvrw961VVX/f3vfz958uS1114rkUg4jvP7/aFQSKfTQcI5wOFwMAyj1+tDoZBcLg8EAp2d" + "ne3t7UqlkiRJk8lkNpszyh87qgCOpLSQlgkEAmQYyhykX82eagWBJgccDgcSoDs7O998803+S4yR" + "AGDpiUQiOI6DP5mmadgykQkQnJxgLGQYhiRJnU4HrVEUJRQKkYORoigwtWo0GmAIBK81bEJgWPV6" + "vaA2eL1en88H7ZAkaTAYQqEQrKdol2psbHzggQeQ9A8SP0mSDMMg1R388wzDgL6hUChAm0q+7+I4" + "TtM0KDkMw0SjUf7qAC73YDBIURSUB4eHhW2DZVmGYUKhEE3TSqUyHA5TFBV/C7FYzDCMUqkUi8XB" + "YBAMHxiGwc4klUrlcjkYRFKRn3Ach2ABGMaE50APk9v/wKTi9XrhuWDzCAaDcrlcJpMxDBMOh0Oh" + "ELJD8zuQXAocVREQHj8SiaxcufLEiRMymayiogLHcY1GI5fLe3t7IdwGbBnvvPPOrl27+F0Cpbq0" + "tFSpVDY0NHg8nmg0WlRURJJkd3c3zP/hdYlhGFDqYArNnTvXaDTy74thmEAgCIfDx44d0+v1EIEG" + "G3lHR4fH45FKpUajEQQaPgQCQUtLi8ViAfECbE/V1dVarbanp6eoqMjhcNhsNqfTCYLLoL3lOO6K" + "K66IRqPl5eUcx3V3d8Pcg34aDAb4ftEl8NXn5OQEg8FAIBCJRKDzXV1dNE3DggCrB9hourq6/H4/" + "HOc3giWScXEcr6io8Hg8fX19GIbBgNTU1JhMppiTcRxvbGyUyWRms3mgmQ/Kv8vlCgaDHMeZTCaZ" + "TJZcsodLnE6nWq2WyWR8Uwu4LOx2OyxlaFmoqKhAF3IcR5KkVCpVKpV+vx9MDzRN+/1++KyCwSDQ" + "BUWjUb/fT1EULFYYhkFsCywIOTk5EJITDocDgQDM52AwaLPZ+JyjfAWD/xTQDZFIRNO0Xq83GAzQ" + "csLnFYlEYC6H9QqifiiKgsEXCASDrpnwDcLAwiWwSCoUCjBXMQwjk8nC4TDET/X19cEaq9FoYpIz" + "YUJGIhG0DmMYBjFE8cZHlmVBUA4EAmDM4s/VtKw8SqUS7G7Dbg32FNgxPR4PdBhaIwgCJgkKNxMK" + "hX6/PxqNSiQSqVQKsUXx3zLLslKpNBqN5uTkCIVCGHz4CaZNelddUMNibG3w3sHCGwqFJBIJTdNg" + "+BaLxQqFwuPxaDQahUIBOzVsx2D2Ql0dquUI6w8/DgaDyEwTDAYjkQjYTx0ORyQSAZIq2MExDHO5" + "XNANkAr4/gOTyYQ6M4xxQ5MfYgCxfjspSZJ+v1+pVAJJsMFgEAgEDocjycMyDKNQKIqLi1tbW+FL" + "hN3h2LFjGIbNnTt35cqVa9eunTZtml6vl0gkMTs++EV6e3tRZ2AR1mq1d955Z319/Y4dO66//nrg" + "qUFdxXEc4vVwHPd4PMFgUCqVwuI26N6HTiAIAmY4OCcgGDAQCBw+fBjEp48++qioqGj9+vUEQRw9" + "erS6ujonJ4cgiNOnT4NXo7a21u12R6PR5cuXr169muO4vXv3Hj58GF7rpZdeunz5cqRcNTc3v/PO" + "O7t377bZbDRNq1Squ++++6qrrlIoFJB2/vHHH+fn59fV1Z05c+axxx7r6+uz2+2rVq0ym82waHMc" + "53Q633jjjZUrV1ZXV8dHRsBpra2t3d3dHR0dVVVVsDtHo9Fvv/2WpumamhqYUQRBfPLJJ4cOHdq0" + "aVNJSQneH1P5zjvvdHd333nnnT6fT6VSffjhh6+99prVahWJRIFA4IILLtiyZcvcuXNTnGYTHXa7" + "3WQyxQszw0MafePpQmb51rMYe9A0DV4+cPtwHKdWq3U6XRK9q6ioCBJRJBJJQUGB1+vt7e0ViUQg" + "BAiFQpFIBE5OqVQK7mutVnv69GlYcEFLR4HKIpHIaDSCKkhRVCQSEYlEYAfF+r1DRqMR/iuRSOBv" + "2CQwDAMvN47jfr8fjAVCoRA5mZMAArPBkwmigM/ni9k/4C6g+cPxJERxsHvBdg5SDk3TaH8dBoxG" + "I+zEWq22ra2NoqhgMOh2u+VyOcia4FWDjRCFsmP9cg90GMy0MIwQq4wC/kExAL/cQKZEsIOABCyX" + "y0GIByEPTmBZ1uVyBQIBDMNsNhv8BLdGklNMm7AOQnh8jKICyifSYMEbSZIkmCekUikaz3i3IQJ6" + "NIZhJBKJXC7fu3cvQRAul6ujowMGB8WHI8kGbD0x4xAKhUBxQiLLuXPnJBKJRCKJRqOgq4CyJJVK" + "4S0Eg0HotlAohJ5g/YoNdBWsOTAyME/27dvHd8+Gw2H0mgiCaGtrO3LkCHQ7RTkPZsKpU6dAVDpy" + "5Ajct76+PqHqBUMhlUojkQhisgVXBsuyr776KkqCQDVgIpEImOdhAUGOKfiQkdENTov5pjiOo2ka" + "PWN8z0H8hZeb3EwDqma8yQAl9SXJ7osRalPMGRn0ZL4zFsOwSy65BJ7F5/PBhCwoKJg5c+bZs2ct" + "FgvLsn6/3263g4bvdDoLCgq0Wi3DMFar1e/3Q+gNx3EwzeCNlJeXw8fl9/udTqfZbNbpdC6Xq7m5" + "mW+zgDkGK23M6xaJRDKZjKKogoKCmpoaWP3inwUsJj6fj6Ioj8eDYRgK7gC5f1A1G9Z8YEIiSVIu" + "lwuFQofD4fP5jEaj0+kEPUSr1Xo8HrVarVarz549S1EUcMb+7Gc/47cGE8/pdDqdTofDgRZbi8Vi" + "tVpj/KUMwxiNRpPJ1NzcLJPJFi9e3NnZ2dDQwF8qYxqP97gO9F9AdXV1ZWUl3z4bb9FIeBz9ShCE" + "xWIRi8UGg+HQoUNmszk/Px/US7FY3NDQ0NPTA14vhmHUanV9fX0kEsnNzS0rK1Or1Vqt9ssvv7TZ" + "bDEPXlBQ4Pf7165dW1pa2tXVBdscy7J6vd7v98dXFYkxbCUZnxgpFlY2tVrtcrnQYg4H3W53Y2Pj" + "zJkz29ra8vPzHQ7HyZMnMQzLz8+fNm3ad999d+mll86fPz8UCtlsNrPZbLfbKYpyu93w+BqNBnKR" + "4sctCWLS2UQiUWtrq81mmzt3bjQara2t9Xq9y5cvz8/P93g8sOZ/8803c+bMgVgzMLifOXMGLr/+" + "+uthkdHpdIFAIBXTZExnPB6P2+02m81Yv+La0dGhUqkaGhpmzZp17Ngxi8WyYsUKhULx1Vdf8ZnA" + "Y4Yd/qivrwdNFe2hMNrffffdd9999/bbby9cuPDiiy8uLy+/4IILTCYTcmbMmjVLJBLB9ooik0Ui" + "USQSWbVq1YIFC/bs2WO1WkEVhPt6PJ7u7m6lUimRSHw+3z//+c+WlpZFixbNnz8/eYgQHhenDUbP" + "xsbGhQsXgmjX2tp66623QsZiJBKZO3fu9773vYaGhttuu+2ZZ55Zt24dSZL33nsvbGESiSQvL8/v" + "9+/atWv9+vV9fX2HDx/Oy8uDOMd//OMfr7766qWXXgp78SOPPPL111/Pmzfvpptuomn65Zdfvvvu" + "u41G48qVK0UiUXNz8yuvvBIIBIqKilQqFcuyJ06cePbZZ3/961/ffvvtwNRDEMR777339NNPl5eX" + "V1VVxT8g7CnFxcVCobCpqen06dMKhYKm6d7e3n/84x96vX7x4sWws2MYtnfv3h07dlx33XV8E8Z3" + "33137ty5m2++uaKi4sMPP/zd7343e/bs2267TaFQnDp16o033ti6devLL788pMk2QcFxXHFxcRoz" + "vzJNx8ZGQ83ORolPFMDyZ7fbVSrVvHnzPv30U6/XyzDMggULNm3aVFhYOJBZqLS0VCwWg2qdk5Pj" + "9/utVqtYLBYKhSjPOScnB3KMcRx3Op0qlWrfvn1yubytrQ30E7BkRyKRnp4erVZrt9vNZvOZM2es" + "VuuSJUtgSYKsHoFAoNVqY1JSBQIBJJBDno9IJNqzZ8+2bduamppUKtWdd965Zs0anBdZxwdsnJBI" + "DMKlWq0Oh8OHDx8GxnWUsSMWizs7O4FmM3Ulh2VZtVodjUZDodBASuagLiCId9JoNDabzWAw7N+/" + "//jx4yB2z58/32az2Ww2q9Wq0+nAEGiz2aRSKTgMSZIE9RLDMIPBoNVqe3t7MQwLh8NarVYul5Mk" + "CbqxwWDo6+tTKpUgsMZ3VSwWNzY2tre35+fni8Xis2fPlpeXl5WVwSMQBBEKhR577LHa2lqQh8rL" + "y5cuXapUKgUCgdFodLvdwWAwXvQUiUQGg8HlcoVCIbQr0zRdUlKiUqm6u7tBIFCpVCAonzhxoq2t" + "beHCheCOJknSarWC1Bgj6IN7JxQK6fV6m82mVCoVCsXbb78NW6PD4QAjDnITffXVV+fPn6dpurKy" + "ctmyZWq1OiYaHGmM6OXOmTOnoqLi8OHDubm5xcXFTU1NNE1XVVVpNBqn03nkyBGdTmc0GvPy8nw+" + "H9wlHA7rdDpw5YH3CcLGpk+fTtP0/v377XY7mq5NTU0KhcJoNEI3wAukUqkQRQ3qWMLJwz8BicXw" + "Orxer9/vj59+8KJnzJjR19cnkUhkMplCoQiFQufOnQuFQnv37s3NzVWr1QKBACYPSZI9PT0mk4kg" + "iNbWVpVKBdFuHMf19fWZTCa5XA4ipsViyc3NVSqVSA8Hi35zc7NarYbXx+8PKAkwAXJycuIDTEBH" + "Qq6qcDh86tQpcG7HAKnZCUeJ/35jxm1QJNQ3+D/xj5w+fZp/I47jGhsb9+zZA3FAMNmkUqnb7QbL" + "UWdnZ0dHBzq/p6eH3xrYJtra2vg97+zs7Onp4ThOKBSioCEMw+AtAJ9FTMQ+ErgdDseePXvAAAcq" + "MbipkYURPky4NdhTuBTClWPGhH9rdAT0dgBQafj9/q6uLhzHwX/b3d29adOmgZqFSQvdi+kJqB/I" + "NwXe+I8++kgsFsM6APMK5GDoDIwGuNbB44eMsPDZArNGTLLAkSNH4BxsANJQuHVytSS9gJd74sQJ" + "iqJ27tyZn5/vdDohZAm4ToFjBXy58CrREyHjIwwUxovdhadAHl30B8wHjUYDTmOsX8eGcDa2nxcj" + "Ib799luxWBwOh71er06ng6qioPpiGAYZLkMqA8E3oYKtE3yMYOKB9ysQCL7++uuYC7/55hv4I+Yl" + "7tmzB/5QqVSBQGBIZDQxgC8XhXUgd7pAIPjiiy/gFcS3D0s331yLfgJTO/wNpva+vr6PP/54165d" + "Op3ujjvu2LRpE1oNZDIZQRBarRY+DfSA8Ha0Wi3LssePH6+pqUHW4V27drW0tKhUqtzc3BMnTnzz" + "zTc+n2/Dhg0GgyH5k8JS39DQABsWQRBut/uVV15hGObGG2/MycnB+jOfu7u7r7/++ttvv72srCwn" + "J+ftt99GhoxgMGi32/1+/4UXXnjPPfcsW7bMbrffcsstf/3rXwmC+K//+q/Nmzfr9fr33nvvvvvu" + "e+utt+bNm2c2my0WS29v7x133LFx40aVSoXjuEajueeee06dOrV48WIwFhMEMW/evG3btlVUVBAE" + "YbPZFArFgQMHfvCDH4B3naKo3bt35+bmVlRUJIzcRsIhjuPPPvvsK6+8kpuba7fbrVar2+1+4IEH" + "UCw6ejUxnz94v6Gdrq4uhmGuvfba6667TqVSbdiwgeM4SA2YCgBTGlhsx7svo4VR8WanLq9kMe4A" + "jnHwUWAYRhDErFmz5s2bl5+fD8tl/CWwOpSWlsKL1mq1fNkOnYP+AP/z5ZdfjmHYRRddlKQzl112" + "GdZPMwYiXXV1dYoPUllZefjw4e7u7sLCwkWLFs2dO3eokg2fyiJDwHGcwWDgOO6aa6655pprht1O" + "6sMYj5kzZw70E4iwxcXFEBPIsmxlZeWdd96Z0AY8EixZsiTmyJCeqKamBv5ALCNYv036vvvue/31" + "1/v6+q655ppNmzbp9foU21y6dCn8sWzZMv7x5DM8eVMA8Gbzw0lifE1jDKfTKZFIUCY53p/kBp2k" + "KIof7sHvPHizY54FAFfx09X4QNaiVLqH5PsYjPagDWSFjMG7774bfwnHcSaTKRgMer1eoVA4Y8YM" + "q9Xa19fH/TtpHJYoi5vrZ/3hHzeZTMgTiM5ZvHixXC7v6OgAxzhYKEAvLS4u1mq1zc3NkUjE4/FE" + "IhGNRiOVSpuamiKRCDhqLBaLSqUSi8Xnz58HGV2r1YL6lPrj858dS5ShCv/lu+yQsgdupfimoBGG" + "YUpKSiQSSUdHByTswK/RaBRMQtBbkNchCmDGjBkQrJuTkxMKhcDHDhMbUknD4XBXV5fVap01a5bR" + "aGxsbMRxHGx/KGtGIpGw/aSAdXV158+fhzSKYDAIMSz8foKKC1cNOkQJjRcxdg1kruIPKf9FMAyT" + "l5dnMpnq6uoaGxs5joNCskqlMhgMRqNRnU5XXFzs8/lgtggEAvREEDEhFArz8/Mh0DcSiXi9Xogw" + "MhqNfr8fvk1kQYb3ZTAYGIaB6q3g+zUYDMChwGf9QJ2H+0LBV5IktVotxPfiOA7uU6/XC0k6EonE" + "YDAMtFDEACgt4TElEonZbIYUD5qmkVkf6Dn4s5c/nj6fjy/xnz17FjWe+taQEGKxWKvV2mw2yEku" + "Li52uVwejwcZPiCZIubtg2UTMh2i0Whvby8y6/AB+jlBEEA/JhQK6+vrg8EgEsyAkcvn88GZMY8P" + "SSgxynxbWxtYJSD5Apo6d+4cpDYk+fyhD1u2bEHPAmFoP/vZzy6++GJYQMA4XllZ+cADD0ybNg2s" + "G1AHy+v1gvWBpunc3NzHH3989uzZkUjEbDbfddddZ8+eLSkpue2221QqVSQSue666959990vvvgC" + "/DSFhYU7d+4E71FraytBEB0dHSgJHOs3G0FdNKj8tGbNmurq6m+++ebgwYNQ2OzYsWPHjx//wQ9+" + "oNPpEgZDwUHw/Wg0GuiJTqfT6/Xt7e0HDx5ctGjRqlWr0CYYn24gFAoh+QvDMJVKJRQKP/nkE6VS" + "OX36dJPJdM8992RggnHaAVMIcqbADDReEs5oY7Qo0LKaduYDdjtI2uG/L3h9SNbB4iIkkZAHqyHe" + "n5KN8ZZv9C9qGRnFYxqMcbPAZkBRlFqtRtzjyR8E2d1B1s/JyYENFe+nGR8IfNkOme1jbpfw4KCI" + "d2oNA3yJih99HSOFY/1sRjGXpysOhy/18kcD9uDu7u7GxkYQiTiOO336dFtb27Rp0/DBgpwTDhF/" + "FvE9Lfw+DOMRkJcAXKlImAAXB3+SI29DktZihiJmhqQ4YWJGkj8UMGn5YeRYOmyXg87J+KdGdjR0" + "IZLSwFMEKR4sjwUNHU/4XwQQQRKqxxjvXaTyXPwUhrGE0+kUCoUKhQIyZQbSo2677bYx7hgCzOTy" + "8vKBThiGSWiMsXHjxiS/xiyJA/2KDfEjGtLqjW7tdrvFYnHMVOzo6ACmpbGsbIo6X1tbi7Y2s9ns" + "cDjA879s2TKLxdLS0sJxnEQigdgrjuMg8Fsul8+bNw8S2oPBoMVigQzn6upqi8UCxyH6BuuPQqqq" + "qqIoqqOjAxTyysrKgoKCaDRKURQQ82gKAAAgAElEQVRENiXs5/nz57u7uwmC8Pl8drsdVMFz585V" + "VlZu27Zt9+7dHo9HpVLdf//9QAyRfFlG2iAk5arV6jlz5oTD4ba2Np/PV1xcbDQagcggiVRgs9k0" + "Gg3S6vfu3Qt/DDolkhj1cByHgP/S0tLGxkbIslm0aFFnZ2dnZ6fZbLZarZBMgUYVtSkUCg0Gg8Vi" + "gQiFRx99FBnjUOMYhgHZuFKpnDdvHtBV3HrrrRDox28QDBDx3QYhsKamBiLgYHyKioreffddyE5X" + "KpWffvrptm3bXnzxxfLy8htuuCEhBRqgqqoKwtFXrlwJsdPLly+fP3/+7NmzgXcABDMcxxctWgTh" + "1rDgazQagiC+/fbbtWvXyuVyGDSpVIqM40ajkSCIhQsXQpwO3A5ytZDU2tXVdfDgwc8++6y9vZ0k" + "ydbWVsgx4e8mEJMCznaxWHzTTTedPHmyrq5u3bp1MpkMQhhAzU4y5WDoHnnkkbVr14KpjmGYN954" + "4/e///1vf/vbd955J8nCC3k3LpeLYZgVK1YsWrToyJEj+/btKywsXLly5UUXXTRr1qxJX6EJxnag" + "UMrJhDSr2RzHud3uhMk/WWQaIERcoVDEsCkS/Qy0QFkMxrb4y3EcB+7uGJLG+NPgDzgn/sz4I9Ag" + "BNukEkkCCbFo3YflL2GsTnIkvNe4h7IktHTEY/T6yW+Z/zds4adOnert7QUpjeM4i8XS1NS0cuVK" + "RI6d9j6M5HK+XyhGboPHgameSs9RmzF9S7GrA40qlkhiG6rnML3gm7r4I4nC9VHCNjqOzsEGGJDk" + "ruYhPS+8ypSfJj3Acfz48eN6vX7WrFkQAA/cePE9GWgrjPHlYkO3pFAUBWIi37nNh6CfRCqJPSvJ" + "cdSmYBR4s1JE8ogG5MRLOFvQr1j/c4HTLMaCjKy06AgyxsU8ePwg87khwAEVY+4sLCzEeKHXYwO4" + "HUEQwAuQ8ITS0tIYerkYgMdSo9Hk5+ejqxBnSkIA2x86WSAQgPox0LOXl5fHqyIrVqwgCOKf//wn" + "vAiSJOfOnbtw4cJhGFjBiIBCmeBjSf4uIHYMnTDQAMYAx/FAIEAQRPKYBY7joGII1s+/XVlZiWFY" + "coWK47iqqiq3271t2zYU/A+E20CpQ5Lk/Pnza2pqVq5cuXDhQj7dWiqdj0Qip06dIggCOG7QtcCx" + "BwptNBpdt27d4sWLV61atWPHjksvvdRsNg+0Sp85c4am6fXr1z/zzDP87xfFgGAYJhaLgTwFVG54" + "17BTAE0dsEK0trYGAgHQh3EcB84gqMjNf0b0yoBX7MyZM6WlpcuXL5fJZAcPHvz2228bGxsh753v" + "BML6F4crr7xy27Ztn3/++X/+53/KZLLa2trp06ebzeYYI0UM8P6ULijOCivJT3/602+//fadd96x" + "WCzTp0/HcRxMGChBg7+Zgr2+uLj4tdde27Nnz+7du/fv379z587t27fPmzdv586dI2H2mSjgSwLj" + "K+eMHtKsZuM4DsE5kPyT3sazSBdgZoOVGohS+b8KBAKgCD516lRra+vy5cuLiopiVhwU7zFU/cfn" + "84lEoiREYkD9MqT1hWVZYFaD/6KuToiPdkJ0ciBwHHfmzBlUmQwsL+fPn4ciYVjm0VHwxYgYiXnY" + "rvK0I37QxncYEw5LjLwSczz+7ySnDfXX+JPHfnxAYoYcVMhPGWhARqMuC0xdCFcuKytTKBRJRmDQ" + "JTqVJWjcrY0JkfyDjf8VjqRiF4u3Kw2KhJYj0NLHPh4yJiIG+/eIJ0gNjefzQyE2YKDBeVWLIb2Z" + "T1ERc7sY9RXpV0keHJyQ8QeB5AXu2NPT88UXX8yYMQPM6IMOIz+8CMUKQeBVKgZr7t9LKKfiLoIw" + "e6DGLCsrG6h96A+SitErQF4NbAB7BMdxDMO8/PLL4FoHAbuiokKpVC5durSoqCgnJ2fRokWw4UIZ" + "C/Ts8U3F9JwgiLNnzx49etRgMECNVXQOf1kgSZKmaYVCAfnP/ByNeKhUKoIgNmzYgPUzlaLHR9tu" + "fn4++Kv5Xw14s+FvqVS6cOFCm83GL0RaWloKVRjiA1XgcT788MP6+vr169c/8MADer0e7DV33HFH" + "dXU1OOrRJWi5jkajYrH4hz/84ZYtW77++uu2trbOzs7bb78dYrhSDG1DswsNIBqfpUuXbt++vb29" + "fdGiRSDWsiwLrJbwyfj9fr/f//3vf//aa689ceKE3W7/29/+9sknnzQ1NVVVVY3L7jaWQE8HBosk" + "URITF+kPGge+kMk3UpMJ8HbA2BazZmEYFo1GVSoVxF9JJBKtVjuQMI0IwFMBLFjnz5/PycmJqbID" + "ZX5BMvB6vVDaMXWdBzE/o/7z7aaZDCBJhvIb492XoQHEEa/Xe/LkSZQRCpvo8ePH29vbwRg83t3M" + "4v9Hcu9xFkMFeHvQ3+MysBCQOXIFGCoMTZ0yraOEhHNgfC13MdtKTARN8siyGLNRTDAa0sMxDHO5" + "XFCsBP0KpYkHnZnxfYAwaZvNdvToUcSd+cUXX9xwww0FBQUpWivizSipfyMx7aeyL8M4lJaWAtlE" + "8tWA3yDq2ECBUajx7u7uTz/91GAwzJkzp7Kycs2aNfPnz+enJ4CnAax+SbrK9gPv59Ho6up6/PHH" + "+/r6br75Zo1GA5UmkcaIZCrQlvfv39/Y2Dhv3jxI5o+f2/DsRqMR6AMTdgaeqK+vj1/aALYnj8fD" + "Dx4JBoPguGb7y8R6vV5Ud5Z/UwzDCIKgKKqlpSUSiVx55ZXAySeTyaxWK8MwBw4cuOWWW7Rabcwd" + "sf4vdM2aNS+88MKnn34qFovFYvHatWshcGPQ+QbEeBzHAZdBW1tbc3Mzn46ksrJSLBbv379/9erV" + "QOp59uzZQ4cOQS3DYDD4wQcfHDlyZPPmzQUFBVDEy2KxfPnllyBWTYUtGx4TckVh8kyyp84W9JrS" + "SBgHiKzXM2fOTD7dh7EKQB1CtEBz/WznwEBDEMSxY8dyc3MrKytTZ2flRwJjGAaFNzL8Q4Wutre3" + "d3Z2VldX5+bmYhNKC4L+19XVQQ0hvnO4paUFSndMhZCniQJEK5ghHvtJALTsjP1nC3csKysbeVMc" + "xzU1NeXk5ABRVhZZpAiPxwMZxS0tLRqNBrjZMQzDcby+vr6ioiImtTUVaQHOOXjwIGhHfLttQUHB" + "6D7PcIE8saPXeElJySuvvCIWi00mE+iuKBgB1F2CIJJECGIYBhHgra2tDQ0N4G3GMOzkyZOvv/56" + "fX29yWS6+uqrUUYAcng0NDRAfcpwONzb2/v8889TFAVFqpNYE8BPO1C6B1wF1JhQFxAlH4HmiZp1" + "Op2gVKMjoVCIZdmenh4Q8Lj+9EAcxxmGkclkhYWFQqHwu+++g3J37e3t27dv9/v9QKuGYRiUE49x" + "hrMsW1JScs0117zwwgsOh+Omm24yGo2DsgLBCHz++eeFhYUajaa9vZ3juNdff72urm7VqlUlJSVw" + "eXFxcVFR0bFjx7788suqqiqWZR999NGOjg4Yn5aWli+//PKTTz4pKipas2aNTCYLhUIffvihWCzW" + "6/UTSCAcCeAxR0gxmMlIv5qNsjTT3nIW6cVA3zCwiWL9RReSrKdDWgUgQAiWuZgWTCYT3CUajVZX" + "VwPr5rCXmAk0/YZkaM9AHDlyxG63o30LXNx9fX179uy58MILIeVsimwVGQsQU5xOZzAYhHry2TeS" + "Foz7MKYrQiE3N5dPB51FFgnBcVw4HAYmF47jjh07dsEFF5AkuWDBAhQ+BnPSbDbHUMHxq4cMepcv" + "v/wyFApBSjBY4Q8ePFhTU5PJVX9GNVwIx/GKigqIHgduVzSSgw4InDZjxoz8/PxPPvmkrq4OhCuG" + "YaAI6Lp16zZu3AjVsFFEt0ajaWlpueuuu6C4NMMwbrebJMmLL754/fr1arU6iYg1a9as5OsJx3Fl" + "ZWV5eXlsf1Er6GRxcbFcLkeRjAUFBfX19RADz/XXU1AqlWfOnAkEAlAYDMOwadOmoUI5V1555eef" + "f/7cc8+dOHGivLy8trbW6XRqNBrwkWIYVlZWZjKZVCpV/Lhde+21b731VjQaXb9+PQScJx9YqLDz" + "1Vdf1dfXQ12GSCQiFotXrFjx1FNPQU0voDO4++67//CHP9x///1AyC8QCCoqKsC3lJeX97vf/c7n" + "87344otvvvlmYWFhX1+f2+2+4447klR4yWJiIf1qdiAQCIVCIykwmMX4oq2tzel0lpaWpjejDBjX" + "pFIpGFb5P0HxBpIk7Xa7UqnkZ9EMAxNCx4aBnTZt2rRp08a7L8MBQRChUOjYsWMulyt+wM+cOdPZ" + "2TnpqTInCiD8DyXCTZFQtEmPtLxEHMchlCaLLJKDYZje3l6z2SwSifx+f1FRkVgspihKLBZDwKfB" + "YADtBYjfALDadHV1+Xy+8vJyFJMcD7DSejyeAwcOoFhcYPxqaGgIhUIKhSJj167R7hUYMoaRXAa2" + "D6lUeuGFF+I4joKuo9HoVVddtW7dussuuwwIegAcx82ZM+fHP/7x559/HggEoCK0UChcv3793Llz" + "16xZA+JZwueFgzKZbN68efFFXtE54L9ZvHixwWDgt6bT6ZYvX75kyRI4WF5eDsX5+C7uefPmXXjh" + "hVC7Gw6uWbPG5XLBkenTp7/yyitPP/30wYMHz5w5M3PmzCeeeOLs2bOVlZWQ4VhUVHTvvfcCOxrq" + "EmjUM2fO1Ov1Wq22oqICCmT4/f6Elh2wcVx11VVwDsxVuVzOsmx5efnq1av5E5XjuCuuuGLOnDmf" + "fPJJT0+PyWS6/vrrfT5fXV1dTU0N8CY+88wzO3fu/OCDDxiGufTSS1etWnXhhRcO9UVnkbFIP9M4" + "wzDx6b5ZZCxgLfB6vcD2wbJsVVXVaAheEKrU29vLcRwEHfF/tVgsSqWyq6vLZDKNkKQ6Yw3e8UCm" + "3MwUHQYCbHv19fVnz56FWql83hQMw7q6uhobG5ctWwZFSrMYR6CAkfHuSBYZioxVXbLIKJAkmZ+f" + "D5oeFJShaRrqFbvdbofDAWlfOK/QN9Yf1Xz06FGbzZafn49IWOIBRGVffPFFZ2cnsgmCw+bzzz//" + "xS9+MSQumEmGkST7wBt5/PHHY45z/bVCMV4kAvxx77333nvvvXgcUShf4014IwzDKisr33333ST9" + "gWf505/+FHNcKpW+9NJLqJ077rgjvv2//OUvMQeXLl26dOlSuIRl2cLCwj//+c+oEYvFsmDBAlRM" + "R6VSXXfddTGPAFP0zJkzVqv1xz/+MSQ7uN3uEydOQMmxmMGHyw0Gwy233BLDmYfxgkDRydFotKCg" + "4Oc//zkc4TjOYDCUlZWh4TWZTL/61a9+9atfoSNZBWoyIf1M4zqdDkpAZWt6TQjAinD69GlEZAWV" + "z9N+I9ieB8qwCofDGIbNnj079ZTseIDW2tbWZrVah93PscSEU7D5OHPmjMfjidmJETXa4cOHL7/8" + "cihYwhe5uPHg3U2CKbKfZSnQshgI2VmRRSrAcRwlABcWFhYWFnIcBzVvi4uLi4uL+Weiv2G1X7t2" + "LWIIS3ILlmU/++yzYDAIccIAsM5/9dVXM2fO5BdMziJ1gA8Z+/f9Do6g+HOQ2OEdxYejQgB/imH/" + "8cpnwtOwuLqV0CV4xQnbSXgQ9GQcx4G2HeLM4ad//etfy5YtKy4uRm1C2Da/BWhzz549UAMPPMwU" + "RZnN5phitzEAzja+L4EgiPghgrqAkUgEeg51HxmGQWdCtXnoIXQ+eSHDLCYW0v8ux6vAZirIyprx" + "gDGBrBjwTDqdTqgxODYABXvatGkx1BTDA4gCE464ewIBtgqKovbs2QOxCQlPO3bsWHNzc0VFBQqd" + "wjDM4/GEw2HgyxnTTk95ZBe9MQOksIJSMd59ySKL0UJMEMRAMRF4f32m5EETHMcBJ9aJEyeCwSA/" + "IhIEg927d2/YsEEul2eDL1JHKBQSi8X80vH8oUMGCxhSyP/KyclBFd34TQ2brT11gJ7MvzxhU/G+" + "Zf5VQAiHOr9hwwa+cQfH8YGW5d7e3muuuWbGjBlwudlsNpvNA3UVRgyMEUAsmvypCYLgl3LA/716" + "KI7jUBscw7DkVHYZiOz3OCjSr2YjGyT/IF/URlGy6Bx+vEra+8NHJBIJh8OjVD9pgs426LbBYECf" + "t9FoHGF29JBubbPZIpFIbm7uyMmZUFzQ2PR/KqO3t7e7uzsYDGJxDmEUN15XV3fhhRciuhSbzfbm" + "m2+2trbeeOONCxcuzJCPBUJvxrsXWUwecBzX19enUqlGiXw4iywyATELePL1fFDpCE5obm4GKin+" + "ngIqd319fUdHR0wp0CwGAoxnb28vEBwmj5uDn4BUDA3vSDboVK5NYpRJ8YQkB9GRVKIjQUnevHmz" + "UqlENOzJVRIUN5685SEhQySiIQECELIBJskxKhRoYIzEMIzjuGAwGIlEIDqCpmkgYBCLxSKRiKZp" + "eENQZA/8kDEpIuiPQVNY0TnYwPM1HA57vV5+UbshIWEoNboXikWJtzKgM9HJ/HOGETmcZAmIaRnj" + "DeBAD4XjOEqyxUYtaDweaHF3Op1paRAiKTo6Onp6eiao1SPzARNp3759XV1dGIYBHyzf8wB/hEKh" + "I0eOXHHFFSqViuO43t7ev/71r3/5y188Hs/cuXNrampGkh2QFsAMWbx48Y4dO+BZsshi5AiHw2q1" + "elD3XRZZTB2kGGn88ccfBwKBmD2F66+0vHfv3jlz5sQTu2QRD3zoNf8mpf0idYVfJpPJZLIhWRmy" + "4bHhcNjv92u12ozKBMw0jAoFGlofA4HA3r17JRKJ0WgkCKKtra29vT0cDpeVlRUVFbW3tweDQZ1O" + "p9PpmpqaNBpNSUmJSCRSKBQQjyEWi4PBIKjfsPJSFOX3+1mWFYvFkUgE0kVgFVar1TKZjKIoj8cD" + "xxN2T6lU0jQNZfSGOi0SVhb1er0QDdLc3KzX66VSqUgkkkgk8fFUPp8vGAyChq9UKiFKBMdxr9cb" + "CAQgaEooFIL1YaC+wWkajSYYDFIUBTYLgiAMBgOUPfT5fCgrPhqNikQipVIZCoUgNjthgziOt7S0" + "hEIheHFjHDQuFovTW7I1+8GPKnAcD4VChw4dcjgcIpEIaGnsdjtUzwYWHJicBw8ePHXqVGVlpc1m" + "e+yxx957772+vr6qqiqz2Zw5qUe9vb3gk88iixEC1lKO4yKRSDYtIovJitGwHxEEQdP0N998A8WT" + "48MhOY57//33b7jhBrDbZrf4LNKLYDA4VMbW7CTkOC4ajQ5aYzxzkDC3f7SRfgo0vV6fk5MjkUgY" + "hrHb7Vu3bt2+fTuogn6/3+fzRaNRjUajUCh8Ph9N02KxGApCGAwGKFA+ffp0kiT9fr/RaGxpaSEI" + "orCwUCQShUKhrq6utrY2iqL0er3f76coCt131qxZZWVl3d3ddXV1oVAoPtEXx/FIJDJ9+nSFQnH2" + "7NmE5yTHlVdeyf8vaLz19fUajUYqlR44cCA3N1coFOr1+ry8vBiKBaFQ2NDQ0NHRIRKJSJKsqqrS" + "aDTgzK+rq2tra2MYRiKRKJVKr9dL0/RAsTFQOWDOnDkdHR0Wi4VlWa1WK5FIVq9efdFFF2EYduTI" + "kb6+Pjg/Go3qdLpFixY1NDR0dnYOZHqAp6BpOhqNSiSSqqqq9AbDDIp07ZoQF6BQKLJlYEcJYABq" + "aGg4fvy4z+erqal58MEHQ6HQI488Ul9fLxKJVq9eXVBQcOjQobNnz9rt9qNHj06fPv2111577733" + "XC6XUqncsGHDwoULx/s5MKx/j2xoaHC5XOPdlywmA/hekfHuSxZZjBZomk5I9TRsIDbyc+fOgfOA" + "/ytypXR0dJw5cwacMVkNJ4v0gl+FLosUIRKJNBpNGpeC0UYoFIpEIkqlciw17XSq2Xh/QbzKykql" + "Ugk+IoIgnE4nMiFAOQefz+dyuVAkORS+7+7u7ujowDDsxIkTg94rJsgTx3E4kkRhg8XaarWic+J1" + "7BgXdMyvUGwgyeN3dXWlqLefOnUqSTtY0sIJHMedP38e63+i7u5uDMOOHTv29NNPY/2JTOhkkiR1" + "Oh1FUSgmPMl9OY4rLS1dvny5wWAYy88m9XtB3sFA2fXQTklJSX5+fjr7N6EwBpZ+hmFkMllVVdUv" + "f/nL5cuXHz16VCgUQqjINddcs2HDhqampt/97ne1tbW1tbWnTp1qaGhwOp1qtXrBggUXXXSRWq0e" + "RqLEKEGr1Y4v6UjWMzPJkI0kzGKyAhYru90uFouhMHK65jnHcW+99ZbL5QKyaIz3HeH9bMwej2ff" + "vn0rVqxANZmR3zsbwpbFCJHdiIcKjuPsdnswGDSbzeOeAzgo4P3SNE3TNL+w+Rgg/UHjOI7PmDEj" + "Pz/f7/dD9DJJktFolL8OCgQCPu0euhboDfF+Xn604KJijLCqxmc44zguEAj45/BlHZxHtwbJ+nAO" + "XALHcRyXSqU0TYOHHBqM72H8I6Pgc6QY4//OIoiAOgZciKhX/IfiPw5SmPF/p4jjX8vPDIdwfaFQ" + "iHoO3m+bzSYUCqVS6UCPgPVXp2RZtqamxmw2owIJCU9OBWmfxNCgx+Pp6OgoLi6GwIeYW8DwNjU1" + "9fT0TJQglrQD5uEoPT4MuMlk+v73v79gwQKDwQCUBPBrbm5uTk4Oy7KVlZW///3vH3300Q8//PD0" + "6dMkSSoUih/84Ad33333tGnTMkfHxjAshm5n7DGq7yuLsUfmzO0sskgvYG6DFTuNCjZBEC6X6/jx" + "45CtBqyZXq+XZVm1Wg3sLX6/PxqNfvHFF7fffrtWq4VF2+Fw+P1+iURiMBiyTJZZjASjvW6jlKIx" + "uNfYgGGYQCBgNpvjtbkMBIz5uPCSplnNRjUSA4HArl27oKwuhmGBQEAkEiVZB3EcdzgcLpcrvl4f" + "QC6Xh8NhhmEwDJPJZNFoVCqVsiwbCATgEnCRwWlutxuqz0mlUiggBEndKpUK0rwFAkEoFFKpVDA/" + "QDudMWNGW1tba2urz+fT6XTQIL8P586dgz9Ap+UrtEMCYseBOG0Mw0iS1Gg0KAxepVIZjcZgMOj3" + "+yFjhGXZcDgMNhh4ikAgQJIkJHhDyEBHR0coFCJJUiaTQe4rwzCgsaOBgqY4joPafTRNYxgmEAjC" + "4TDEn19wwQU5OTkjXwVg/NPIQAhd0uv1SqVyIMsZ109lP7HSRdIFlOpPkqRcLh+Nx4dhLy4u3rx5" + "cyQSaWlp4R/v7e21Wq0w94RCIbwpoVCoUqlWrlx5++23l5SUYBm2x3g8HvgKxgs2m00qlWZ5fSYN" + "sl6RLCY30ju9IXXu4MGDHR0dLMsqlcorrrjC6XTu37/f4/FUVFQ88cQTH3744d69ezs6Os6fP3/y" + "5EmIG+/u7t66dWt9fX1BQcF9991XUFCQUQbcyYTsmjZyhEIhgUBgt9v5ZX0mNIRC4ZA49jIB42Lm" + "SH9uNoZhMpnsxhtvvPHGG9HDuN1uiUSSnBWmo6Ojo6MDNMP45BxIxgaicq1WG41G1Wp1JBKx2WzR" + "aJRhmKKiIpIk4TTI3xaLxVqt1uPxFBYW+ny+3t5es9msVqtJkhSLxU6nU6fTQSV6AMdx4XAYKhWV" + "l5fn5ubGvIwvv/wSwzCBQADqRFFRkUajGaorjCAIyMomCMLr9QKtt0wmKywstFgskLtuNptLSkp8" + "Pp/b7e7r6zOZTCzLejweo9HIcZzBYJDL5R6PRyKRoM+1ubn5jTfeaGtr0+l0y5Ytg+KHPT09QqHQ" + "YDB4vd59+/YFAoFVq1b5/f5QKJSXl0dRVHd3N4xnQ0MDRVFXXnnlhRdeCBR0Q3ooPrj+GoxisTjt" + "KRAEQSSZRWDlgaDxKbsrjEHhemA6JEmysrKSL9kwDAOWI8R55nK51Gr1hg0bNm/eXFRUBFT8o9q3" + "oQL1h2XZsSHYR4AvxWq16nQ6pVI5ZWfsZEI0Gg0EAkA4Mt59ySKLiQGO43bt2gViydq1ax9++OGP" + "Pvro2LFjHo9HqVSWlJQ89dRTjY2Njz32WG1t7YEDBy655BKKoh588MEPPvjA5XLJ5fKrr77aZDJN" + "oBzRCYRIJEJR1CgZ7jMcadHKYKM/d+6cVqvdtWvX9773vZKSkslhEppw9pdx6e1o8f2CMxM9Emiz" + "yaXYwsLCYZAQTJ8+nf9fcPYmTM2dOXMm/zQgFeR3KRqNCoXCkpIS8LmhyHOEiy++eKjdGxJiui0W" + "iw0GQ3l5ecxpEBgPcjnqf1lZ2YMPPpik8SuuuGLQDqRRPZNKpaO05yX5sKH/LpfL7/en/b6ZD+Tw" + "H4MbQWRKJBIBzZmffNHb2/vEE0/s3LnT7Xar1eof/vCHmzZtyth9BXXe7XYD2f6YdRJuVFNTMza3" + "y2JUwfWXk2hqaiooKDAajZk54bPIInMAuXuBQODbb79VKBT//d///aMf/UihUCxYsAAiDVeuXKlW" + "q2manj59+t///vc//vGPoVDI6XQ+/vjjH3zwQTgclkql1dXVubm5/BzALNIIhmGcTqdYLM78/Nu0" + "A4zvIxRl4VqdTmcwGK699logyJwcIzk5nmK0MSpqNpLCEVIRXlmWHShiHMMwlKeN/oZEbnQQ5XVD" + "zHDCMyGGGaSf+PRjIB6H7yqh2w0Vyho5oHG+ks9/HLRhoM5gvDGE/iPmD7g8+eil3quRU4nA5Uql" + "Msk5kI86vBsluQosIxaLpa+vb8KZ2dKFsXxwmKgQXgHfWkdHB6Rku1wulUq1YcOGTZs2FRcXR6NR" + "PmXA2HQvFeTl5QFtgdVq9fl8Y9+ByZSsNZUBb1CtVs+bNy9LyJRFFqkAdiuLxSIWi//nf/7nRz/6" + "UQyLuEQiAepckOt++9vfWq3WV155ZdeuXVCjdPbs2S+88EJ1dXX2o0uCkUgFUqm0uLg4vf3JfCCz" + "KcMwaakLXVRUhGFYtgjFFMQYVa9NZYKCjpfkBH6iL/o7YfYvv52Bzkx4IWQyD5RRnHaOjfgbxXdy" + "oM7EDOmgo4eNrQKW5F4QV+Dj9JQAACAASURBVCmRSEaJOGGKR2yOpagBU27GjBklJSXHjx8Ph8Nb" + "t26FIu1qtXr9+vWbNm3SaDTAwI/jOEmSWq02Q8LPoEulpaUQa1NQUDAu9BhZ0XAyAU/EnZleTFkD" + "YhaTDzCTSZJ86KGHFi9eLJFIwHQbP8PBC2Kz2bZs2bJjxw5gsZk9e/bzzz8/Z86crCs7OSDJa9hD" + "NGXXHKFQmC7zDfICTs2RnMoYIzU7i0wAeLzBPZ5wzR35EsB3uQ90DvATkiSZ9hgk2J4LCgogmz0Y" + "DIrF4rFnQZxq/kkg6mNZlmEYh8NBkiTEim/evJkkyZdffvno0aORSIRlWYVCcemll37ve9/Lz88f" + "bW1kUMALampqglp3x44da29vh1jf8e1YFlkMBI7jIFNj3D+fsUdWQp18wPs5NYuKikAyGSi1EHhn" + "t23b9v777weDQYIg5s6d+9xzz4GOnQl220yGw+HQ6XTDloVG/t2N+8c7VKkMzpTL5enqwKCCcRaT" + "FVk1e0oA0ZIFAgGob6zRaBJWLAPe8pHcaNAWxGJxXl7esG8xEMLhMBRn0uv1crnc7/efO3eupKTE" + "aDRiY7u6QXLBVEhkgmp2J0+ebG1thVosQqFQq9VCrLhWq3300Ud37twpFosVCkU4HPb5fF999VV7" + "e/uvfvWr3NxcbFx3Hfgo5s+fbzAYWltb8/PzNRrNpH9lWUxohMPhxsZGk8lkMpnGuy9jjalmvpw6" + "4Of0JUQ0GnW73QcOHHjppZe8Xq9AIJgzZ87zzz8/e/bsVOL4shh3+Hw+qVQ6jIDQdH31QOSmUCiG" + "NFuya04WI0d2eZpaoGlar9frdLqYLQ1WE7/fD9Uph9EytODz+VCJtUFPTiM4jmtra+vt7Q2FQkC1" + "LZPJZs6cqdPpsDFcJeG5PB6P2+0e94LMow1wrHV3d9fW1tpsNvCwFRYW3nnnnffcc09paemnn376" + "ySefzJs377XXXvvXv/719ddfb9++fdasWbt3766vr8+QwUHE7NOmTTMYDNkNNYvMBFgwe3t7jxw5" + "YrVax7s7Yw0IGJ6a3JaTHklSLSAMymq1Pvvss7/+9a8dDgeO40jHnpphHcOAyWQar+LGiJiWpulh" + "bPogZoykCAjcNBKJeDwehmGG1IeE+Qvx7MhD6gxFURki/KQOlmUpihrjUiyTBllv9uRETIgO/G0w" + "GAwGQ5KrQD1ObldODoZhUrl2GMpMEtY0eFi0gCqVSpFIBEHpY2znhu5Biu/kLi4CCQinT59++eWX" + "9+7da7VaGYbJycm5+eabb731Vq1Wy3EcZGuvX79+4cKFoM0uWLDg4osvfuutt3p6eoDYfxwfARKl" + "7HY7pPm5XK4xZhrPIovUAdMyPz//Jz/5yXhJzOMIMDHk5OQkJ9fMYpKhqamptbX1b3/72xtvvOH3" + "+wUCAXCeZWPFh4Rx3NdQXsBAJyQPgaQoyuFwGAwGiUQyEtJcuVyerghwEDWHF67IMExPT4/ZbI6h" + "+stw0DTd29ubn58/sbqdIciq2ZMToJTGrFxJAmDgoFarHfYd8VGuJuX3+4VCYcKlFtTvWbNmFRcX" + "f/fddzU1NVA3e+yXA9jMEmqPkyn6CHTsurq6Rx555Ouvvw4EAjDa1dXVq1evhnwEgiACgQCGYTRN" + "h8NhoIqlKIokyUgkYrFYQqEQSZLYOI0JvKlIJLJv3z6LxYJhmEQigf5kkUVmIhqNkiQ5NRmVIRd3" + "vHuRxVjjX//6l8ViOXLkCNKx42PFUURSvMyTBWDcV4wkej5N06FQSKlUJvTQiMVimqb9fj/w2o7k" + "QUZOQgbX+v3+cDis1+uH4UoRCoVlZWXDu/s4QiwWl5aWjncvJiomqliZdTolh8vlkslkUqk03qed" + "BCNXBUfjvUCb4GkUi8UDtc8wDMS0eL1eKPUx9ohEIiAHx/8EFtCBfp1AAJnm/PnzDz300Ndff01R" + "FN5fXg4I9qCyF0EQS5cu3bdv3+eff15YWDht2jSxWPzdd9+99957SqVywYIFKpVqjL9ilmVpmhYK" + "hUBLy7KsUCiUyWQCgUAqlRqNRrlcnoGrSqatdT6fTyQSpZHMfzJZoEYJMAcCgQDLsnK5fHzDQMYL" + "2XmSCjJtuRgJcBzv6urq6uoiSZIkyZqamueee66mpoYgCFDJYDPy+XyQoiWRSBQKBY7jYNsdaiJu" + "FqOHQTlxof5I/GkCgaCgoADjFbId9vSGC5MIaSm2IJfLpVLp5A5XjMHUedLRwIRUs1FqxBi/+wmx" + "gUEnRxJdMxKMxvhAm8CYFY9wOEwQhFAoRGr2qVOnent7gSJojLU4h8OhUqlkMhn/vvBG+vr6GIYx" + "Go3Djn3KBIAf++zZs08//fTBgwcpitLr9RRFQUo/TDy0gV111VUnTpx45513jh07tnz5cr1e/+mn" + "n547d27Tpk3V1dUQcDGWnadp2mazaTQaEMX4PxmNxoqKCqVSmWmvJhwOcxwnEokyRF5kWfbcuXMm" + "kwkCRtLSJpDnQYHctDQ4WTEuETqZg6n87CkCuMQmQbwDonfBcRxKV8yYMePPf/4z5GPDQsFxnMPh" + "OH/+/L59+9xudzQaNZvNK1asqK6udjqdbW1tNTU12VUlw8Fx3KDh3ChQGYS9Yeu3IIlZrVa9Xh/j" + "ghoSBALBVCC4zSJdmJBqdigUikQiMplsjO1JI6w9ODYY7eDtQTFKxoiBmrXZbAqFQq1Wt7a29vT0" + "hEKh8RIycBxXqVQJ198Jx3iREKBjnzp16oEHHvj666+DwaDZbL799tuPHz9eW1vrcrnQmWB1lkgk" + "S5Ys2bt3b2dn58cff0ySZDAYFAqFKFZ8lAIfBvpVIpEUFhYm/Mnn89lstnA4nCRcYowBc8ZqtYZC" + "ofz8/HjTwLgAx/Gqqqp0LYPISQupFlmBeCDAaMenJWcdvFnwQdO01WrNy8vLfEElIWBB8Hg8hw8f" + "pmkawzCCIMxm8/XXX3/33XcDswwU0AbL9QsvvLBjx45QKKRWqxmGsdlsb7311hNPPHHBBReAjj3a" + "gzAhXC+ZjFTynJET2263i8XiYfPawiVqtXpISnL8KwZvSuaICllkOCaYWINIC30+39iz3rnd7uGR" + "JY49xquT0Wg0GAxCRauxQW5urkwmwzDMYrHArBgJD+RIgOO4XC6PZycCfdJsNhcXF4/Ehjq+4DiO" + "YZi6uroHHnhg//79FEXl5+ffeeedGzduLC0tjclqhp3p6NGjTz31FEVRV1999VNPPfWXv/zltttu" + "y8vLe/PNNz/77DPw06axh5DiNVSefOiD0+ns7u4Gt2rmfOA4jkPtvcyhvMJxfHh1WQZqDcOwnJwc" + "g8EwQQOhx3K2xK9sI6fhzWIygaKorq6usdx/0wjYYoLB4JtvvvnII484HA6O4woLC//85z8//PDD" + "QCwKljgw+G7duvXFF1+sqal56aWXDhw4sHfv3jvvvLO3t/f//b//19XVBVrQqO62kDM8jK8v+aKR" + "ORtQ6hgehTiGYVarFQL9UmnBZDJptdoR+lHAF5L6+fxXjJLjhn33SYlB5/MU36Emnjcb8kLVavXY" + "O2zHnSQJqKRGicp7JEBOlUgk4na7NRpNeq3psAEnZBYVCoWw6olEonGv7ZHEtj2h/U4sy4bD4WPH" + "jv3hD384cOAARVElJSW//OUvf/SjHyXkrgf55v/+7/86Ozvvu+++W265RaFQYBi2evXqysrKxx57" + "7NChQxdffDH4lkc+JjDsFEUFAgGNRjM8J0amvRp8xKyEo4S0O3AmtEcIBIi0RNAMOg7xqSihUMjn" + "86lUqolrv8sijVCr1QsXLgQL5oSbDyzLsiz7z3/+849//KPT6cQwjCTJX/ziFytXroRQYRQrLhAI" + "KIravXs3hmG33HLLihUroLDI/fff39zc/P7777e0tJSWlo6emo12HJfLJRaLhxpCnCQuEmXQTKDX" + "B5wswxP5wuEw3s/tkgRI/BvJsCAKNCzl7Z5lWbvdLhKJIHAdrsrLyxt2HyYlBhLOAeFw2OPx6HS6" + "CWpJ52N46+oE82ZjGIbj+OLFi6dPnz72zPLAhTiOy19fXx+wN48ewuEwEIoM6apgMAhbu0QiMZvN" + "aaeSAu19oFACFAsEm9M4ZjAmD3yaQBsnH1Aysba29q677tq7d6/f78/Ly9uyZcvPf/5zjUaThAsA" + "6ENLSkokEkkkEgG32w033FBRUdHe3g7OirT0EO6uUqny8vImmb4xXqEZSZD24Z1A74v/LuBvn883" + "PI9WfMvhcDh1PwkMmkQi0Wq12fDFLDCee/D8+fM0TU8sDxJY0vft2/eHP/zBarXiOB6NRpVK5fz5" + "8yUSCZ+cAmUklZSUGI1GvV4PTxqNRimK0mq1UqkUDO6j91GgHae4uHiogihobgzDxByH19fa2trR" + "0QGMbmns8CgBOhkIBNxuN+J7TxEwaNOmTSsrK0syhtCm2+0OBALAgzu8rkajURhVHMfD4XCKwXQE" + "QZSWlsYssEkuHEY83YQGDIXH4wF6zoS/+ny+1tbWiRIInAQsy3o8nmG83wmmZsNcl0qlwA883t0Z" + "a5jN5tGrGoqiZ8+fP+/1elP8JOC0U6dOtbe3wyo2Gt8SjuNJbKVwR7vdHgwGuX6kvQ9TEyzLer3e" + "jz/++MEHHzx9+rRIJCouLt60adPatWvhjeA4DslO8dcSBBEOh71eL5i6wUTlcDjC4XBeXp5arR6N" + "3Oz0NjjumLjWmckHYKqP2WXTxQRBUVR7e7vb7R7SHBYIBGKxeApuhVkMBL1eX1xcPIEomiCmNBQK" + "7d2796677jp79ixyZshksoQVhkGjW7Zsmdvt3r59e0dHB03TgUDgq6+++uijj6Cwxdhkpw9vx0ni" + "+svLyzOZTBOrtKRAIBh2h1NUziGWwWKxQMb+MEBRlNVqBQeSy+XyeDwp3jr+nITzCllJHA7HxLJw" + "jRxIuos5jpLClixZkpllXIaEcDh8+vTpQCAw1K9+In3MCBMxICotGFWKIBhSo9Go1WqHaqBVq9Uq" + "lWr0NjahUDho9Cya+kgUnpqTJI2AWinbt29/6qmn2tvbOY4rKSm5++67r732WiDlhu1k7ty5Op2u" + "u7s75nKdTgfC04wZM0pLSzmO83q9H3zwQU9Pz/Lly9Vqddo7POw3DjGH2QmTRRKEw2GHw6HVaqGU" + "APJopaVxsCSisNjsVESIsZzi2drIAwCppkBWMlHAsizDMB9//PHmzZv7+voUCsWsWbOsVmtHR8dA" + "l8AEuOmmm/bv3//yyy+3tbVdffXVTU1Nf//733t7e2+88UadTjc2VKzDiSAlCKPRGH88Y7OEkgDv" + "L3AFBJbDGA273a5UKpMEyaNh4TgOEgGStJYkIFwkEul0OpIkOY4bUtT3kB4qiQFlEgN4E5Jgcuxo" + "Eolk4cKFw4gdm5Bq9iR4YSNH8omb4rSOT/YWCARD8o3AXaqqqobXgdSReoNer5eiqPjFbkJnR6eI" + "ND4j+O7ee++9p59+uq2tTaFQGAyGW2+99YorrgAxDmkap0+f5tOMY/2S8eWXX37q1KnPPvvMYrEs" + "XbpUIBAcOnTo+PHjBQUFS5Ys0Wq1meCqhQ4oFArENDbuXcpizIAyq1M5WSKR5OXlxUiT6VroJBLJ" + "tGnTRt7OJAMqjAyR+QKBQKVSAUlK5n+n0PkxrnwxsbY5lmUjkciBAwcefvhhu92O4/iyZcu2bdv2" + "3HPPvfrqq/DqoUQZ/yoUUn7ZZZft27dv165dtbW1AoEgGo3KZLLCwsJRSu6DwOOR52hMMg4XjuMs" + "FovJZBoSVScMwr59+2pqasrKypJ/JjATBmXfTMJbLhQKRzU3GO44ffr00btFpoFlWb/fDwXPYaEb" + "6MyJNZ8HAo7jUql0GBdOSDU7CwzDGIYhCCKhSpyEMAzjreMcx9ntdpVKFROIPgzBEWogo6uSd2B4" + "SL1LOTk5CoUipkvQq2g0OnryWSZskOkiZILRq6ure+mll1paWiQSyerVq++6664FCxbE2631er1E" + "IuFfDr+uWbNGo9Fs3bp1//799fX1GIZJJJKqqqpbbrll6dKlGWL0RalffX19oVBIKpVODstrFqkA" + "AsDkcnmKvJLxp6VxqsQvWVMcHMfRNN3c3Lx79+7GxsZwOCyTyebNm7do0aLKysrktXYzAc3NzeFw" + "uKioKF0hD6lgoswfMMU6HI5vvvlmy5Yt58+fVygU5eXlmzZtMhgMENzb09Nz8ODBGTNmxCQJAgta" + "c3Pzq6++iuP4ihUrli1bplQqu7u7d+zY8fDDD8+YMWPRokVpN3BQFOVwOEwm0whDn5JcO1FeXwxU" + "KtVQU1fgSS+77LJBl1/YkZ1Op9FoHEh+g3P8fn8wGBzotFAoJBaLkwseI9z9p9QazjCM0+kUi8Ui" + "kSgajU6FBx/e9Miq2RMP8KY7OztFIpHRaIy3INI07fV6lUplQrMr6MAQoGgwGOKTaoYXB8X/L8uy" + "LpcreSzQ6CEmNxtSvwiC8Hq9Pp9Pr9ePEksWEKWOl5sFbTMMwyiVyhGWgILWDh8+bLPZlErlBRdc" + "sHnz5iVLlsQ4Z+A0IB6LaQEcDgsWLPjTn/506tQpoAYpKSmpqKhATHUj6WHakQ1GBUwRKwM8JsMw" + "8NmOe3pzcm/A1EQkEtm1a9err75aX19vMBjkcrnL5XrvvfdmzJixZcuWlStXZqxPG2bX1q1b29ra" + "7rnnniVLlmTgijeOACHEarW+9NJL27Zt83g8crn88ssvf/LJJw0GA5/wDDEnxaxL0Wj0xRdfPHfu" + "3A033PDQQw9BwQscxwsLCx977LEDBw5UV1eDny2Nwy6VSnNzc7PpRTHAcXzQsOGB4HA4Bq0RA6Nd" + "UlIy6DkKhUImk8UvCzB5ent7TSZTcvEP9ORhL8WTfg3nx3+JRKKSkhIYW4fDgUiIE16YCV6okWN4" + "/c+q2RMD/DkKf/f19ZEkCexTMe8ex/GEOwHXX4XC4/FA3SOxWDxKHQaf5yg1Doj5btEffGYLyAem" + "aVqlUoXD4UAgACnB6dUloDWfz0fTtFqtHnsOfATYYEauM6AIqIqKioKCgt/85jcVFRVIx+bnSWIY" + "9u2339rt9vhGIIpPp9Ndcskl6OBUYwcZIcChl2IZv7RghHLGRAGeSZmQ4xJdnMmAFbWlpWXjxo25" + "ubm/+c1v/uM//kOn0/X09Dz//PPbt2/fs2fP7Nmzc3Nz+Ss5DCPej4TNol1jDGKa3G53XV1dT0/P" + "QCdMTYDhu66u7sUXX9yxY4ff78dxfM2aNU8++WReXh74sQciGUJSfiAQ2L17t9/vv/rqq1UqFWjj" + "QqHwjjvueP311w8ePPjDH/5QKpWmdx0jCGL0RKYJjWELVH19fQaDISYabni3gAmQ8Ce4MHlqN1LF" + "pVIpVOcetEtTEB6Ph6Iog8EAQ42+00EFfpqmoSzfFFz6smr2xACUf4AwbJjNS5YsGehkqPIXfxzm" + "t1gsRmmoowSBQDDa8ms4HO7r61Or1VCQGcMwyNuB4CKfz4dhWDQaDQaD77zzjt1uv+666yoqKoxG" + "Y1o+crTiI6kOOiCRSMbL1I33k5GkqzUcxy+44IKHH364uLgYxg0to319fRiGabVaSIED9rv4RtAo" + "gcMQ65/AU3CdHTZYlrXZbJDZMdrjBu+rr69PJBJNETlj3HUeZKELBoPDLvk++QDvpba2FsOwm2++" + "+ac//alcLo9Go4WFhQ888EA0GgX7KVrPYXkJhUKhUEgkEkFJJ7QyI3coRVHBYJAkSZlMxl+oYwKg" + "APGXD3QCAPrAMAwYOuEn/rIZc0L8Xfi3gHRHLG5yjvuMHSHgMevq6u66666jR4/Cf0tKSjZu3KhS" + "qeJjOvhPynGc3+/HMEyhUADHPtZfaJphGPCBu93uaDQ6voVXpyCGPdqLFy9O1y0ikUhyaqFUOul0" + "OlUqlUqlyoafxAC2KrfbXV9fv3z58pgh0uv1yS+02+1isVir1bIsG41GByI4mJTBdBNMzR573864" + "A6adx+MBHzUigUieBJJksgoEAn6I7yht26P3tUCHLRbLk08+OWfOnHXr1kGYWWFhoVarJUnSarXa" + "bDaGYTwez4cffvjUU08VFBSsWbMmxgc7wj7AA4LpHSS2TLBzp+ttQgsqlWrx4sXQJuJAxjDso48+" + "cjgc1113XXFxMY7jixYtysnJ6ezsjOkJvAK5XI4KmWYxVBAEEU+7NXrgOM7lcsnlcpVKNRXU7EzY" + "0TmOCwaDTqcT7HSTUs4YHoBYMRgMUhQll8uBJVgul99///0URel0OtgBwRTV1dV17Nix9vb23Nzc" + "WbNmVVdXGwwGNIctFkt3d3d9fX1zc7NCoVi0aNHcuXOhpiCsVC6Xq6+vDwi3pFJpQUGBXq+H787n" + "8/X29mo0GoZhLBYLRVE4jut0usLCQuCch1v4/f7u7u7W1lbgadPr9UDbhl5oOBzu7OxsamoCQ4BM" + "Jvv/2Hvz8Kiq+3/83jv7vmYmmclkXwiBAAlbwo6yKlJwqait4oJrn1ZLP60+FfVr1UoVrVoLFJVq" + "RVER1KLgwmIRFGQxLIGQlWSSzGT2fb+/P96/nOc6M5lMMjPJBOb1R57JzLnnnuV9lveem5srlUrh" + "LSaTyWq1ikQirVbrcrkmTJjQ2dnp9/uLi4upJ4vH42lrayssLIxHAZhugKFoampCPHYgECgsLLzn" + "nnveeOMNg8GwbNky6BcSUqCzIxAI+Hy+jz76SCqVLliwgM1mjx8/vrm5ua2tzePx9PT05ObmWq3W" + "//73v3q9fsaMGSmNd5VBshAZ324IQHbLXC5XIBAMrUIguaqqqgQbMyLweDxUV4tUAFkEqFSqSIPN" + "GMcWfJ+dnQ0yRzjscnJyIjVSwWDQ4XCAEC1l/RgBJIfNHrabARyoKKXKMLwxTcDlcoPB4IULFzQa" + "jVKpxAZyAhnU4ICrdnLHM9Wzw2KxLl68+P777x87duzmm28eP378pUuXzGYz3EtkMtmFCxfef//9" + "d99912g0rlmzZsyYMejkTvztNpvN7/eLRCKLxYLjuFgsZjAYHo+HwWCM7AaR9GGHU5Cq9sFxvLCw" + "8OOPPxYKhTfeeKNUKoXwntSn4N+GhobPP/98zpw506ZNuxJ4tlQAT4YLQPzvwqJlDcggdYAxz8nJ" + "GVSOmaFh1DHwlZWVHo/ns88+KygomDt3rkKhgAiFQqEQeGwQd3Z0dGzatGnnzp2BQIDD4QBz+6tf" + "/eqee+5Rq9UEQeh0updffnnnzp0Qntpms/3nP/958MEHb7rpJrFYHAqFGhoaPvzww6+//tpoNDqd" + "Th6Pd/31199+++2FhYVMJvPIkSMvvfTSuHHjAoHAwYMHYfMvLi6+5557li5dCl5IXq93586dmzdv" + "7ujoADVAaWlpU1MT7J8kSQaDwQMHDrz88svt7e10Ot3pdHI4nNtvv/3222/PysrCcfzTTz/dtWvX" + "xIkTP/nkE7PZvHfv3meeeaa5uflf//pXRUUFQRBQ1bFjxx577LGNGzdWVlZi6SEnih/Qhc2bN9fX" + "1weDwVAoVFhYuGHDhquuuqq2tvbPf/5zdnZ2bW0tyFMwDGMymXw+nyCIQCBgs9n27t375JNP3nff" + "ffPmzSMI4oknnjh79mxDQ0N9fb3JZLp48eL333//zjvv0On06667TiQSXZkWqqMLSbwY8Hi8xIPA" + "j9IYZsjtPNUvIkkyqj5pwBFD1xiBQBAWdBkBFDNsNvsys3lMDpvt8XhoNNowmMtCysErYfekupCR" + "JAm3B6fT6XQ6wSYq/npij5XH47Hb7UKhMMYOlVb3M1BfKJXK2bNnnz17dsuWLfv27bv55puLi4t9" + "Ph+GYa2trdu3b29qajp16pTVai0vL6+srATb8ti9iKebMC8mk8nj8bDZbLFYjOM4mExDBkiwpUmw" + "j6FQyOv1DhgaJNWI5PGAIKdNm8bj8V555RXQaYOdHioDnzs6Op599tkjR47k5eWBSjx9SCiDGBjt" + "VqmjEUk0tOkPkDlptBiCwT4zb9686dOnNzc3P/XUU7t3716+fPnYsWNlMhnI2YEZCwQC27dv/+CD" + "D4qLix999NEpU6acPHny9ddff/fdd5lM5n333cflcl9//fWdO3dOnjz5zjvvnDp16o8//vjss8++" + "8sorYrF4xYoVHR0dzz///PHjx2tqau6///7u7u6DBw++++67Tqfz97//fX5+Puio//e//1VVVd1+" + "++0lJSX19fXbt2//29/+JhQKFy5cSKfTDx06tGnTJpfL9cADD0yYMOHcuXM7duzQ6XRyuRx0ON3d" + "3f/4xz/OnTu3Zs2aWbNmtbS0bN269b333isrK1u6dCmTyWxpaTl06NCJEyfKy8uvvvpqmUxWUFDw" + "+eefHzx4sKCgAC7Qfr//rbfe0mq1o3d54jheWlpaXFxss9nYbPYzzzwzf/58Fou1cOHCLVu2bNiw" + "YfXq1XV1dV6vF8Mwr9er0+kcDkdXV9fOnTvfeecdp9M5ffp0LpcbCoUKCgr++Mc/2u32zZs3Hzhw" + "wOPx0On0vLy8e++9t7a2dgQjpGQwzEDGd4lXNUr1ASqVanjMN1K6plgsVl5eXurqHykkymaTfaH2" + "uVwumGAlpVn9AcdxcCq+7O+CcMyAHQgwlnw+X6VSuVwul8vF5/Pj7DvZF2e7v/IQ1SPG5gI14OkX" + "FWn69Ok7duzQ6/Xt7e3r168XCATgpqXVat944w0Mw5hMJo/Hmzp1KgqHGLvCeJKQQSVFRUWRP+Xm" + "5g69Mz+Hx+Npb29XKBRSqRRLJzpHpDhlypSjR4+uX7/+2LFjTCYTQqCB0sZisWi12r/97W9ffvkl" + "mBiNdKszGATSh9iuHAzDmPt8PqPRKBaLR4UhGLo3f/DBB5s3b961a9fRo0cPHTrE4/Gqqqquvfba" + "RYsWgTOFXq//5ptv/H7/PffcM2PGDJIkId3X3Xff/cknn0yfPp3JZO7Zs6esrGzt2rUTJkwIBoNz" + "5871eDwvv/xyR0eHOLGlMgAAIABJREFU0+ncunXr999/v3bt2tWrV8Pt4je/+c3vf//7ffv2zZ49" + "Oy8vDw6F4uLidevWLVq0CMOwa665hkaj/eMf/zhx4sSsWbNYLNZbb73lcDiefvrpZcuWYRi2ePHi" + "adOmrV27FsWG1Ol0YrH4kUceue222wQCwdSpU91u90svvVRfXw98JuT+eOCBBx544AGQ1d55553v" + "v//+gQMHVqxYwWQy6XR6W1vbvn37ZsyYIZPJ0iE8/mABDV69evWCBQu8Xq9AIFAoFHCX++Uvf7lj" + "xw4QK9x5551nzpwBoXl7e/vevXs3bdp08uRJp9O5cOHC0tJSULSQJHn99ddjGDZ9+vTKykqn05mX" + "l/eLX/xCLpdnpLpXIK7kSU8Hj8Wk4LKcxEGw2VE5W/hXrVbHKJN0BIPBzs5OoVAIusSUvmv4AWOo" + "1WoDgYBGo4H0A8D7FRQUYH3RpOOpBwIPOJ1OpVLZXxoDFosVe4mGQiGTycTn89MnPA80Y/z48UVF" + "RY2NjeAsZ7FYUBhSiIDi9/tVKtWKFStKSkoGNAQiSdJisfD5/HgMb1JN5wRBgHmkz+dLz/QhU6ZM" + "ycrK6unp2b17N4oDZzabT548uWfPnj179jQ1Ndnt9pqamstSPJlBBqMLTCZTJpOl52YSAxKJ5NFH" + "H73rrrsOHz788ccf19fXHz169MSJE729vXfeeadCoeju7oYshs3Nzd999x2O4xAulCAIo9FoMpnM" + "ZrPJZLrmmmsKCwsxDIOjYdasWdnZ2Tk5OTwer6enR61Wl5aWgnFyKBRiMBhLliw5evRoa2ur2+0G" + "03SNRpOVleX3+4PBIIPByM3N5fP5fr8/EAi0t7d3dHSUlZUVFBT4/X6IeFxZWalWq3t7e8E9e9Kk" + "SZs3b+7t7e3q6uru7u7t7d2/f7/VakU9hVdPmjSJxWL5fD4mk1lYWDhhwoRTp05ptVqIMPT55597" + "vd65c+cOQ0DE1IFOp8NNBgYW7jYVFRV5eXldXV1er/e1115DAeE2b968adOmYDDIZDJZLNb1118v" + "lUrRiQOWU2PGjBkzZgxUnib5+TIYfozeFZEBwmU5ifGy2V6v12w2i0SiqEwINaRwMBgEuWwym/nz" + "F7lcrh07dkyYMGHmzJmXpWkQjuMgkQ2zAxmCWYjFYrl06RKHw4nBPQ4oQEo3ZxXQqcrl8oULF548" + "ebK1tZWaxAsOWvCIk8vlMUIghiF+oh0Gz/OCggKtVut0OhUKRfoIOLC+vtfU1BQWFp4/fx6+BPfs" + "8+fPP/bYYz6fD6ZAJpNNmTIlJycnfRqfQQZXJgiCGHVBs8A6Brb6X/ziF4sWLdLpdPv37//HP/7x" + "5ptvlpaWrlixAusLQL158+atW7eCktPn83m9XrVaLZFIfvrpJwhPjaTVOI7z+fxJkyZhGKbT6To6" + "Ong8nlgspoYHV6lUbDa7vb3dYDDAbpadnS2RSCBvDZ1OFwgETCaT6kOkUCggSAdUIhaLIUYX7I1W" + "q/Xrr7/+6KOPLly44HA4PB6P2+1GMdJQl+HkotPp8OHuu+++6667Pvvss5KSEgaD8cEHH/D5/Jqa" + "mrQ6EQYLOL4xyoxA2LmysrKTJ0+CTRkEk8f6TMzodLrP51MqlTU1NVSFAbDToVAIZCvgwDV6RyaD" + "DDK4/DAwmw08mMPhOHr0aFVVFcQWDisDZxuO43a73e12SySSxEMRRAXUKRAI7rzzTgaDMepk8/EA" + "egSBVRKvp6ysrKysLJ6S/YFGo8nl8gQbkyLU1NQoFIr29nZ0KiOQJMlkMidNmqRWq+MhEhzH42fI" + "hwGR+WPSBHBJEgqFtbW1x44d6+zsRJoHyKAGSTVCoVB1dXV1dTXYYV5+6zSDDDJIKTo7O3t7ewsK" + "CiDKN3jurV69uqWl5a233mpubgYvIQzDxo8ff9VVVwmFwmAwCNZMGIaVlpZOmjSpvb09Mj0PBL9g" + "MBgymUwul5tMJsSnUdN3gYYZeMLi4mIIlguV5+fnCwQCpDsF4ykUVxz+isViFosFwoIvvvjiueee" + "s9vtFRUVU6dO1Wg033///RdffBHmz4VEvfChtrZWoVB8/fXXv/71r7u6ui5dunTLLbcUFBSkm+B7" + "sIgq0b755pv37Nljs9nQgQIIBoMwpP3FkSYIIqUJSjPIIIMMhoyB2WzYzWUy2eLFi2NICpEzFSTe" + "SPUZIBaLU1r/iCNZZslUu6xEKkm3Qx3aU15eXlJScu7cOZvNFvmrQqFYtmxZVD/qqEifbob5YqQn" + "Jk+eLJfLOzs70TdAtH6/H1n1q1SqNBnSAZG2co0MMrjSANFAPvzww6+++up3v/vdggULgLn1+XwE" + "QUgkEpFIJBQKGQwGhBeWyWTXX3/9+PHj4bDz+/319fVut5sgCCgGCmSIcAv6gO+++06j0VRUVCiV" + "yt7eXpfLhVE2AZ/PR5Ikm82m0+l8Pp/BYASDQTBRhmPi7NmzRqMR3LYVCgWfz+/u7jaZTPn5+RiG" + "QRt++OGH3t5eOp1uNBq3bdum1Wr/8pe/3HXXXXBBYjAYhw4dinGhCoVCIpHo1ltvXb9+/YEDB86c" + "OYPj+IoVKy4/XznozuzZs9VqdXd3NzV1BXwAua1arQaxxWXW/VGEzOBnEBUZwoiBQXBfYC41QHUE" + "MTwa5sv+TpwscTWejNBlabh+4BbC5XKnT58ulUqRvR/6FcOw3NxciUQSZpUXu85UNXdICBPqpxvy" + "8vLkcnmYIwPSBQkEAo1Gk5S468MGUFtlkEEG6QC/3//jjz8eP368t7fX7/eDX3RTU9P+/fsxDMvJ" + "yWEymeXl5eXl5fX19YcPH7ZarV6v1+PxnDp16uGHH3799dd1Ol1JSUlOTs6RI0eOHTtmt9v9fr/d" + "bt+7d+8TTzyxa9cuh8MhEolaWlpOnz7t8XgwDCNJ0uv1/vDDD4FAYMqUKSqVqry8HEJRAqgHChyv" + "ZWVlY8eObWpqOnHiBPhye73eM2fOgAiSIAhIDgLcNYR8t9lskB87bIennvvwYdWqVQqF4g9/+MNr" + "r702adIkjUYz2lXZkUBGBFKptD/POIIgFi5cKBKJ4j/QM0guwDh/ZAc//afe4/FcgReJESeMdMYg" + "QqCl1c6eVo3JYEQANFBXVwd245G/VldXazSakWhacpC2RA5+7wUFBXPmzDl37lxXVxdGOf/g17Ky" + "sgkTJnC5XCyNO0KFz+ejpiXLIIMMRhazZ89+9dVXP/roI7fbXVNTg+O4Xq/ftm1bY2PjtddeO2bM" + "GFB6X3vttWfPnt22bRuLxRo/frzRaNywYUNTU9Py5cvVarVQKLzhhhteffXVjRs3Njc3l5eXt7e3" + "v/766wRBTJw4kcfjXXXVVd98882nn34qk8mqq6tpNNr58+c//PBDsDmHYOagGKfuYzweD8WFwXF8" + "+fLlJ0+efP/99zkcztixY7u7u995553GxkahUEgQhFqtnjFjxqlTp7755pu8vLycnJyTJ09u375d" + "q9UiWSqO4xwOB8qjakmShPBsHR0dbDZ71apVVIb/cgL4os+fP/+HH37wer1UETOEtcvKypo3bx4Y" + "jY+KA+XyAyR/lUqlI+gA73a7wV10RN4eG6DR7erqysnJGYYU1mkC6LXRaBSJRKM6ZkTqkJy82Rlk" + "MPyA9ZydnQ06Vcj/gVECpE2ePFmpVF5+sv90ANyBZs+e/cknn+h0OvBsRGAwGNOnTy8sLBxFpkTd" + "3d1WqxXy3I50WzLI4IoGWGDV1dU99dRTn3zyydtvv/2f//wHAqzyeLyVK1c++OCDKObINddcEwwG" + "X3vttfXr1zMYDHBaWbly5TXXXCMUCkmSXLNmDUmSb7755vr168HqmMPh3HvvvTNmzKDT6XV1datW" + "rXrzzTcfffTRwsJCFot16dIlgUAAKSowDBMIBLm5uTKZDAzXwXKqpqamsrKSx+NBG2bMmLFy5cq3" + "3npr3bp1bDbb5XJJpVJg8iFnxDXXXHP69OmDBw8ePXoUUnuwWKzy8nKZTAadHTNmzFVXXQVyYbQF" + "geP39OnTDx06pNFoqqqqUhT1Jk1QXl4emfeERqMFAoHq6uq8vLzMaT6CgOB8I5XYFe4Ser1+ZPn8" + "GIAmFRQUpFvu29hIioNqmD1pBlRk2OwMUoJgMBgIBOJxNBgyQNifnZ1dU1Nz4sQJnU6HUVxEpkyZ" + "MmHChPSUel4GwPvijVdVVV28eNFisaDvSZIUiUQzZ84MuzKmJ5DOBCK3jWxjMsggA4RQKHTXXXdd" + "d911P/74Y0NDg8PhyM3NnTlzZkFBAWzssLcEg8Fly5ZNnDjx22+/1el0AoFgxowZpaWlUIYgiFAo" + "dO+9986ePfvUqVNGo1GpVE6fPl2lUoFndSgUWrNmTW1t7datW48fP+50Oq+77ro77rhjzJgxiNt/" + "6aWXINcjYvNyc3PXrl3LZDLZbHYoFGKxWHfddZdGozl9+nRnZ6dUKl25cqVYLHY6nZBIbOzYsZs2" + "bXrjjTf++9//+v3+ZcuWrVy50uv1isVi8Bi/+eabJ06cKJfLw3YhkiThmxUrVkC0jjTfUYcGFPJN" + "rVbr9XqqYREoumfMmAEDlcFIgc1mj2C2AsTEjlQD4sTo4rExDAsEAgRBDNlIBJ7KyspKdrsuH2TY" + "7AySDJR0zWq1SqXS/vJ1JwVwAE+bNm3nzp3AZkMD2Gz27Nmz4X6TQSqA43gwGGSz2dOmTTtw4IDd" + "bqcGe0fpx0fRjVAsFvdn6OX1eul0eiJMOFi3jqLRyCCDEQd4Ncvl8iVLlixZsgS+BHNi6mqC/E8a" + "jea2226LWgZ46YqKirFjx6ICYb+OHz9+w4YN8E3Y46FQCIJ7U9tGkuTEiROpX/L5/Ouvv/6GG25A" + "BTCKrzWw4g899NBDDz1ErYdaQ0VFRdhbCILw+Xz79++n0+nTp09P6WE6soADRSwWT506tbGxER0o" + "YJum0WhmzJjB5XIv1+6PFowi87QhAPIUXB4djOfKAbNpNpuZTGaCYXQub8JIEKNM7pJB+gPvS7qW" + "m5ub6nMRKi8sLBSLxeASDKLE3NzciRMnwpeZxZ8iwMAWFRVBWBr0JY7jdXV15eXlaR7CLQwxziSD" + "weB0OhOp3GAwQCjjDDLIIH6AbCsQCPj7gEUzUIRifr/f5/NFLQOnA7WSyF8hfRfYYVELwK+RscpQ" + "ri9UDJ6FIGfwCIrXBfqiUB8gYhC1WngL9RVwUdbpdC0tLYsWLaqqqkpPW9nkoqqqislkooEFi4M7" + "7rhj0qRJGWujEcdlTH7BYLC7uxs2h1EN2EbMZjOEYxywPIfDSdwV5TImjMSRYbMzGEkkyIbhfbnB" + "EVMNSVMnTZqUl5eXCUmaUsDgT5gwIT8/Hxzq8L6U2rNnz87Pzx9dOy+fz+/vsMnKykJOmEMASZJa" + "rRbywSbQwAwyuBIB6UuYfejPJhOSJ7NYrP7K0Gi0GJXQaDTw6wZxWFiBqOJa4ADRvziOQ3AmeBGt" + "D+hBHMfRl+BO1V/SbFQewzA2m33ttdeuWbNGIpGMrh11sIDRmDNnjkgkgm8g+JlQKKytreXxeBmh" + "eQapg9/vb21thfB7I92WJADyMsQug1Ril7GZTDogYzSewUgChPpDjqsBfB1BEEuWLDl48KDFYoEY" + "OfPnzy8qKspsHCkFDL5UKi0pKREIBF6vF/Q5paWlY8aMQYx3f4+nm5VRjMOVyWQmUjOO45MmTUqk" + "hgwyyCClgOWv1+u7uroKCwvB1XBkNyh4u0KhWL9+PTLUuowBcT1KS0srKiq0Wi1Edw8EAiUlJWPG" + "jBmeTLEZXLFgs9mzZs0a6VYkAXhfbOA4y6fbTezyQxQ2OymDju6sUBs1awXelyMRPqB/409unIi0" + "aVCPUwuj1qJfUyH0oo5JmBUrchgLawy1Gcg+Lf2XDbTf4XB4PB6JRBKmSARzu3h6AfVUVlYqFIqG" + "hgYMw1gsFp/Pp9Fo8dMVGmpqYfQN1WEv7CmM4oMX57uGhrChoFImlSypaw2jLLfYDYvR99itQr5z" + "1DdWVVWp1erIZ6ltDgQCHo+HxWKFxagbQbpN6atH6iSL+l7qrhv1V/gQRv8ZZHAZA91NIXLnSNF8" + "5KoEa3Y6/YrQiED3J02adOjQIbfbjWEYjuOQGBLk6Zm9KIPUIcZhR5Kk1+tNtzj/MY7y+A/utOrR" + "ZYnobHaColOY9a6uLp1O5/f76XS6WCwGjZDD4QiFQhwOx+Vyud3uUCjEYDA4HI7X6xUIBAKBAEwd" + "ojLDOI6DyxMoP4fG0sQZzQga4PV6wQYsFApZLBalUslgMLhcLkmSTqfT4/GQJMlisQKBQFIy7uI4" + "7vF46HQ6cJhQP/wbDAaRXYfX63W5XCwWKxQK+Xw+4Eg5HE5OTg5JkhBHJP3z10HzRCKRSCSKdIv1" + "eDwmkwkYZrwvhIzT6eRwOHDh8Pl8YLOH98UbLykpOX78uNls5nK5cCpTHeciATUANw6m5jiOo7Ar" + "WJ+0AmYWudXBs0gIgjh5WC+pY7OhfmgGdW1Cg5HjH4j/USOhX9TgZFERttzi7w7sFUCEoMoWCATj" + "xo1TKBRAlhhlP4E64Uun03n+/Hm1Wg0Z1+B7WNepptv+jh+73Q4rLhUNGLBOJAoZLAkNWb4DExG5" + "z4PQhLpDDnZAokoAUSVRN/YRTBJDFV+iL6llYnc/Kl/U31ORkovInSTGu2KM4ZARufdSEdaXqAI7" + "avsHLNxfG6ivo6I/woh8XSJAM8JgMPLy8kZQbwxe6FQbThzHo+bLiH26AajbeOxZjrFgIwtTy8Su" + "nMoGRH088kEcx+fPn//GG2+YzeZQKCQQCObMmQPxmeLpQozvI6krUh4d9n2ClBDnck4p0pM/TE/E" + "GCK/39/d3a1SqZhMZvqMJLBLNBrN6/WCVwX6KX0amUEUNru7u5tOp0skkqGZSsKq1mq1L7300qef" + "fupwODgczrhx42QyGY7jFy9e9Pl8ubm57e3tkG6Xz+dnZ2cbjcaSkpJx48aZzWaPxxO1ZhqNZrFY" + "fD6fWCym0+lDu2r09vbyeDwulxu7GOjoDAaDQCDgcrk+n6++vn7hwoUSiaS8vNztdjc1NWm12mAw" + "qFQqbTZbUuIb4Tiu1+v5fL7L5aLT6T09PeCYBHy1Wq0GxtJoNHZ0dEil0mAwaDQag8FgMBjMzs5e" + "sWKFz+ez2+1Lly5FJ1PirUopoh5jRqNx3759X375ZXZ2dmVlJbix2e325uZmjUYDLmo6nY7FYkEf" + "QcrQ29sLj7vd7u+//x4JPqLq6wiC0Ol0bDZbKBTCWZ6Tk8NmsyHYFYvF8vv9AoFAKBT29vYyGAyR" + "SKTX641GI9Lf0ul0DofjdrvhRcDYg2NPKoYdTID4fL7FYuHz+Twez+12BwIBg8HgdrvVajVBEB6P" + "h81m9/b2ulwuaCSXy4XFZbfb+6sZx3Eul+vxeAKBAOJ4ORwOjUYDQVjsK5Tf76+vr3e5XHDtgzyx" + "nZ2dGIZ5PB6z2RwIBCCLrEgkAhGA3W43Go0Oh0Ov14tEIhqN5vF4nE5neXk55K1N+uhRAYK/SML7" + "6aefOjs7FQrF8K8akiRhBKxWq8/niypkjAocx/l8PpgGRDKHwWAQ5HFMJhPdhkOhkMvlYjKZFosF" + "4otSRZYEQZhMJqvVmpWVBfELLRaLWq0GASh4yVLfEgwGnU5nMBj0+XwEQfB4PL/f73Q6eTxeKBSC" + "ECzwUh6PR6PRXC4XVYoKH+RyuVQqHZH4RoFAQKfT2e12JpNJp9OZTKbL5UJTAH/ZbDZyuHW73SwW" + "C0iUJEkIM0Oj0UCy6XK5vF6v1+uFLQWEwjKZDL0OYjvBQoNswCCoZTKZPp/P5/PFaCqUJEkSqk0W" + "lYJFSX+1QTQv5GMMjQSJHnDRMGggC/b7/bAxgiQUznEk46PT6VHlfUgGF1VUTc3hBEJkeDuGYdAw" + "aHwio0GSZCAQQI7WIxVni+xLC9zZ2Qn5sWPzeGaz2WQyUROAAXFSnwIhNdzF+2O0yD5tOZLywwrt" + "jypQjKhgMMhkMuH6AaqLyPKoQiAYtKyA8mFlob4jVn/ixIkymay7uzsQCBQVFdXV1UHjY+yKQAxh" + "lEDtGowP1AD0DNpyKEan09lsts/nA5UGqiHBFGKBQAD2BxzH6XS61+uFuAAwI1wudxiIzefz9fT0" + "qFSqjNV9ImAymWmVuQb4LJ1O53K5VCqVzWaLvZOnFSJ3qssbP2Oz4Urd3t4eCoXGjRs3hDmDXezU" + "qVPPPPPMoUOHbDYbhmFWq7W7u5tarL6+HuvjfywWC1zKW1tbv/rqq8S6k1o0NjaO4NvPnTuHPuM4" + "3tXVhVGsxy0Wy3PPPQe/7tu37/HHH1+5cuWoS4AB96ft27e/8MIL7e3tYRoeqsQ9xqFrMBjWr18/" + "4LuoNcjl8tzcXD6fr9Vq7XY7h8Px+XxCoVAmk3V1dbFYLKlU2tnZCYIheITFYgGvC6e1RCKB8Dnx" + "KBmGgKKiIpIkhUKhwWAQiURisdjhcPh8Pp1OZ7FYysvLMQxzuVxcLlev15tMJnhKLBarVKre3l4k" + "g4gEQRBisdjj8VBFRUKhkEajOZ3O2Fd/KmA8TSbTK6+8snXrVhzHHQ6HwWAIBAJOp1Mul8MQYRhm" + "sViMRqPX6xWJRHw+n8FgeDweq9V63XXXrVu3bsyYMQnem2Ojq6tLLBaLxeIU1T8EGAyGQ4cOnTx5" + "8ujRoxaLJc6+A39SUVFhMpl0Ol0km+33+x0OB5fLRQJTuODa7XYej6fX69lstkQiQacdSZIMBqOn" + "p8dkMuXk5AgEAoIgent7p0+fXllZaTKZOByOQCBAy5AgCJfL1dzc7HA4LBYLQRDFxcVWq7WlpaW4" + "uBiErcAX+f3+/Px8Lpfb3t7u8XjCzDFWrlx5++23gyBp2PYr2DYbGxtfffXVkydPisViWFbt7e1W" + "qxXv883x+/1KpVImk3G5XKVS2d7erlAolEolhmF+v//YsWMdHR1sNnvKlCm5ublNTU06nc5gMPh8" + "vjFjxkCoiNmzZ6OX5ufnw6KADLSwvoqKitRqdWdnZ2dnJxJ1RSIUCuXl5fl8vt7e3jgdauIZgfLy" + "8uzs7P5qczgcPT09crkcbI46Ojq6urqYTKbH4/F6vcFgUCKRlJaWCoVCj8fT2dlpt9vVarVcLvf5" + "fE1NTX6/3+12ezweHMfFYrHX60W8DbVfQKJOpzOy+3PnzkWfg8Gg1Wpls9lerxfH8UuXLsnlcqVS" + "qVQqs7OzhxxAIRAImM1mlBZ7aJUkC2FsXlSAVG7Tpk379++fM2cOmNfRaLS2trasrCxqVsI5c+YQ" + "BKHX681mc0FBQdQh8vl8ZrNZLpfT6fQLFy7IZDK73U6n03NycqIygQaDAXhmk8mUm5sLd321Wg0U" + "Qm0kQRANDQ1KpdJkMtlstkAgwGKxQLNqMpmCwWBFRYVSqYTM4X6/32QyCQSCYDDocDhAKge6/YaG" + "BrPZHMOuAbYpDocTduHx+XwWi0Umk0FHTp06BQeQVCqVyWRnzpyB0zwYDEql0rKyMtid4NYKoJLf" + "oACtslqtp06dgkjOsHuoVCoQQ7tcrgULFhQXF6ea02YymTk5OaOFAcsgToRCIZ1OB9adXC4XRQ1M" + "c8Ch093dnZ2dPaCy87JBQn7OkYDaHnvssbfeestsNiPxM7IFRRZ6yASXau8aeyNAQvQERdfxPw6F" + "4b1gm4EaAECS9aQA1YbkvlSbK3TzIyl+y2SfaS5s1mAk7PP5rr766meffXbChAkp5ViSC+isx+O5" + "4447du/eDUoboBNkMo0oCo0DPEs16o6zy8iGOexZjDL+Yd8AAWARpIgmLrn0EDY4Ye2B76GzVGs3" + "dB1B/YoxIFHX1KC6E2ZOHwYq0Ya1OawXBEHIZLKXX375xhtvTKnp+IkTJ3JycnJycmBkYLPS6XS3" + "3nrr448/XlJSMpwegNCGt99++9lnnx1mKV7YFFC/p85XbCVS4m0gSXLcuHEvvPDCokWLUvSWqICR" + "f+KJJ/71r3/p9XoqfaYOMpnM6/U6HA7Q/oH6Vy6XC4VCq9VqMplit0EqlYKUJIlNVSqVPB4vKsGD" + "gYzD4eDz+aCFs1gsZrOZwWAA/4NhGJfLlclkoAm02Wwej0coFPL5/FAoZDQaUSIuKBkIBKKK7aij" + "EfZTcXExfMBxPBgMgs8XcEdgKMdisW688cbf/OY3Go1maIddMBi0WCxcLjf9Pa2wvgPrzJkzS5cu" + "7ejoiF24qKiIRqOBO4xUKo3sHcjd3G43sLV6vR4sm+h0ukgkiro5OJ1OmCaPxwNcsdPp5PP5wO2j" + "ksD56/V6EEa7XC6/3w90AlYhJEkqlUo2m11TU1NTU2M0Gr/55puqqiqHw3Hu3LlLly6BRAbEWzEU" + "X/AiWFNg7oGa6vf7PR4Pn8+Hf7VardfrxTBMJBJxOBwQh0FhIGOr1erxeODiAd8j8hssoFUul0uv" + "12MYxmAwBAKByWQSi8UMBsNqtbpcrt///vd//OMfpVLplaPWyyAVoN4MM0hDxBtXIx4GBi7rwWCw" + "qanJbrfD4SqTyZB7Lda3wZnNZtjvMAzjcDi5ubmBQKC1tdXtdjMYDJ/PB4kxkHEdtRlg0QcOEhDc" + "OKrnUgzk5OT4/f7s7Gw6nW6320OhEITgcrvdzc3Nfr8/KysLNDBwF3c4HGazWSKRXLx4Mc5XgHVc" + "ZNgSsC2kdj9Z8Pv9drvd6XTCIXH+/Pmenp6JEycm9y3DAJ/P53Q6vV4v2JUJhUIQxMK5BdabcF0j" + "CILNZoMVJcgXwDrL4/GAjRyoBcDmPBAIwJhzOBwkvwCLMqgw0ux2UAAXaPQvtBaWAxhVwtWQeoQD" + "bwnNg+sj0jZEEjZYfQNDi7h9WBokSbrdbjqdHiaxhvZAw/C43V8DgQDyo+4PQNtIzAHXX5CAAJ/P" + "YDB4PJ7X66WmmwbLUuDqCYKAMYHRAMtDvV7/f//3fxwOZ/ny5Uk0iw1DdXU19V/0Fp/PBwOVipf2" + "B7gL/vDDD72NyHYuAAAgAElEQVS9vSBFimp7OVhwOByYCzTCBEGwWCxw4goEAmCvgfIDc7lcOp3u" + "9/uRjSW0BMjAarWi6YhzXqhLCe/fgROI7ezZs3/5y1/4fH5tbe3wiAVBVPef//znww8/NBgMZJ9V" + "c9RGhoG6fgccjbACoCiDdQ0LmUajWa1Wq9WKGtDfs1jfJjDYaFixG2kymYxGY1iBsEfsdjv6F7ZN" + "1Au/39/T0xNWIbKmAaCS6HMk0GiEfd/a2hpZGAkHQeKwZcuW0tLS1atXx3kTgN7ZbLYHH3zws88+" + "w3EcDalUKl2yZMltt91WWVmZnlw3HF4bN24EnTNsWVQfOurctbW1oQepSloEtM/39vbC4CMu2mg0" + "YpRwqlShG6ofGUBFGikgoDIgSYEdDwYcXtHR0bFr1y4oc/r06bC2eTye9vb2qDWjxiOhcCQZh0Ih" + "2Fqpj9jtdofDgVGokUrG1PUFr05cdB4MBsGHwmw2I9eJF198cdasWYsXL44z0wqMvNlsNpvNubm5" + "Q7M2TUOSjoHYSoKogKHmcDgDDmnSuVMY3gsXLvj9/pKSkmT5ww/YzuTOKTBZ8TQe7t6IDkcddQ0b" + "Bj6wYex6e3s9Ho9CoYjn7EEF2Gz26tWrV61aBewQeKyxWCyr1Qo8AEmSHA4H5Hl79uyxWq1FRUVn" + "z54tKioqKSnp6ekBL3E4VkEu29DQQKPRKioq+Hz+Tz/9xOFw8vLyGAxG/DwS6ASAfwP2gM1mw6Vf" + "r9dDGCccx0OhEAgIgFsAi+J4hotOp/f29gYCAalUSm1YZPeTArgQt7a2btmy5eDBg1B5WErPkQI4" + "Isafr4ukxJaDb6ZOnVpdXc1kMv1+v0KhKCgoyM7Obm5uvnDhglgsrqurc7lcbW1tYAaclZXFYrEO" + "Hz7c29tbXV2t1+tDoVBZWRmPx9NqtWfOnMFxvK6uDlpFEER7e3t+fr5SqWxra/vxxx8dDgcx+NB6" + "JEkyGIysrCwIKwDEj2bfaDSOHz8+Nze3o6MDx/GWlhabzQasL4/H4/P5kDzmxx9/1Gg0paWlwA//" + "9NNPAoEAgvHAAty2bRvY6NpsNqlUarFYWCwWEGogEDhx4oRQKCwuLkb0BraC4Hzb29vL5XLjzPwM" + "0QF4PF5/4wCyA4VCEQgErFYrGOw1NTUFg0FQm4Cx/aJFi44ePVpfXw8nZSgUgmgCEASRwWCIxWKb" + "zQbMnlartVgsJEk6HA6bzQZc0KBmIXGUl5cPs2M2jLBWq21oaIDuYxjG5/MFAgG4rIPAEdFA/DUX" + "FxfDODscDlAcsdlsjUaTnZ3d0NBgs9kKCwvBlBTDMCaTCSTa1tZmNptFIhG4EIOWEsOw3bt3W61W" + "r9cLVrWBQIDH4wGl9dcqYIEgjzFczZFbL0i7mEwmiC+B+2ptbdXpdMPDYyMYjUYUB4TJZIILOvxL" + "EITP53O73aCjAxYFJFloOsDECfHMcBfncrlAusE+RGVvIoE6jtYd8E7xnBRI5oWeZbPZfD7f4XB4" + "vV5gX0FXDCca1UMezL8hmzSy2EKyNjqdDgEOIRE01mfVDOdL1C0CKATidaN0D0MGCCAiXwEUBb1w" + "Op1tbW0WiyUrKyuelYLWXUdHB4vFmj9/fklJCXiVnz179sMPP2xubl63bt3kyZMHrCRy1lALB9XN" + "wQLvsxLCMIzL5QLdwklEpZmooxdWD8QFIEkSaAOijVBlSXw+nyRJl8vFYDDgmhQje/mguuB2u0Gf" + "PDQioTYeSAJWH9wVMQxDfYFfYS+CKx+Ylw8oUHa5XCBUQqL52O0Z0JsDpAxUaftg4fP5huybRvVU" + "T3OQfZloaDTaoJwfA4FAb29vPL7oMKdJN9pXqVShUCjBJKBUDEHWkAgcDkdra2tZWdmAvF4gEOjq" + "6srJyQGt5yiirmFGXGw2hmENDQ29vb11dXU5OTnYQJIV2IVJkpTL5XV1dVVVVVRBIxgLUWuAFXXD" + "DTfA4/PmzQOqGjNmTGT9NTU16IwpKSkZMv3BS8GRCWkz8vLywg5OKCMWi0mSjN//AXxl+0P8Ge3i" + "BEmSZWVl2dnZer3+2LFj4DIHF8SRJXqv12swGKRSaX8bZWQLQdsGpwiTyVy0aNHdd98NLCKKcTJ9" + "+nTy50FTqGRQVlaG/VzujuN4ZWXlggULsJ9bZNTV1cG/JSUlV111VSI3QjzCtha1DZm4Yz+Pvova" + "huP4tGnTwroQRthPPfUU1hcFGu/LFo6uCOCsGCbOoEZaGvA+QX0qnsKodxiGBYNB0Jqi5tFoNBaL" + "tXr1ahQvB+vTvaMrINzY6HS6Tqe7//779+/fH78feCoAJq8JLhkUECh+7QQQPCKGuXPn3nbbbXV1" + "dRDNDsx/BtsqJG1BN2YgDyAGYA6hqVjfjg3TEaLEsUdk8H//938//fRTW1tbSUkJsMdFRUVgU9pf" + "q0KhUENDg1Qqzc7OBtbaZrPp9XrwSyQIIjs7+9tvv924cSMIaKjrYthAXYDZ2dnPPPPMkiVL4CcQ" + "kHV2dmo0mlAodOLECYIgpFIpRN+EUQK7G6lUimEYRD4jCEKj0cCZAuJCj8fzww8/xNMYoAHYK/A+" + "SZbf77fZbDFGBkpmZWUBPw/yKUg1XF5e3tDQoNVqpVIpi8Uym802m23cuHFcLrehoQFEiqFQ6Pjx" + "442NjRqNpry83Gw2i8Viu93e09MjFAqFQqFarW5oaHC5XOPHjxcIBMDcGgwGOF8i7/rAkGu1Wr1e" + "X1hYKBAIEtQEbt++PfJLGPzz589DzJdQKARyOplMNlj2r6Ki4sEHH5w6dSrWF4L0/vvvP3To0Hff" + "fTdu3DgQMyHBJbWbYXdfJH3A+jTzQ2BEB7vM4RV1dXU333wzQRDBYDAnJwd8reECFnX0wmrQaDRd" + "XV0+n2/evHlsNvvAgQPUGLQEQSxatMjtdh86dEitVoO8eOLEiVQP8KGBTqcfOXKkpaVlyDWgxoOS" + "PBAIzJw5EyzSIU4q6gtsQeXl5RwOp6Ghwefz1dbWstlsHo8HLuX9LbF9+/ahsCYWi0UgEMTgynAc" + "N5vNMcqADqa1tbWlpQUaBkFG4+wv0IZCoQBzyzifQq/GcRxWLlD1qIDH44FURFjcoisWi5Wfnz8g" + "g43jOGytEAQ0KfdkqCTBsHlUoHZiGBY1I08qEL9cg06nKxQKJM4wGAwymSyJ8oXLBgOz2bCeZ8+e" + "jRiG/krCbkUQhFgsZrFYLperp6fnwoULc+fOFQqFYdJftLkA6VCvWYgh6e9F1AJDuJ+h2xVG4aWx" + "Ph6Gun+FlUncdiiMh08iSJKcMGFCdXX1+fPnDQaDyWRyOp0jLlhis9kqlao/skFzh37FcZzL5YJ1" + "K1jvFxUVITUsdd6pU4P9nAyoYxtGKlELDEhv8SDycXRnitE2RPmRbYhK2CBoQCJYVADOoTD6REFc" + "0Yd4OhJnYWoBqrk7tQCbzY7nNlZYWDh27Njjx48bDIZ4WpgiJHjWwmza7Xa32z2ojHrU99JotOrq" + "6vnz50skEgzD4O/QGoNF3EuAopALBvbz3RgYJPznfv7wWSgUzpw5c+bMmZF1xkBtbS36LBAI5HJ5" + "UVERhmFVVVVQT25u7oEDB1pbW1FYwREBMCTFxcUFBQVgHoL3KQk1Gg2UgQ+DIg902YotcsX6JuvC" + "hQtGo7GsrEwulw/2XVGB47hCoUCfqdK9sWPHomK/+tWvQCcMmucwloNKKtTdLPaFL54ycWLVqlX9" + "veKRRx557733wPp3CIC2gWM8qjM7O3vcuHFHjx5taWkxGo0qlSoQCFgsFh6PR7UGcrlcBoMBwuZh" + "GBYKhSADpc1mIwhCIpFAopbBdj+eCDUAKAYb/q233nrDDTeAqjbMxKC/0QsbBLIv/jaO4/fdd19Y" + "AThrHn74YSiTrJnFMOzmm29OxKwv7A6AUc5H+On+++9H1IsOTXgjsgyP3ZFbbrkF1Q8sdGyXjdhl" + "YH737Nlz//33GwwGv9//3XffzZ8/XyqVDkrCMoTBh0eS4pE0PIB2ZmVlYcnYDKMC5IxJr3ywwrIB" + "McyWfTweD/SXA5aE6zr6dxRR1zAjXi+v+JUzGIZBDNJQKCQSieRyOdw4wyYg8t8RSaRBbQYEq0Sh" + "dCPLJJHcU0SOyMaJakA4gog9rT6fD8XXQfe55uZmCAUEz6L0MJkFjBA5FGi4RqQ9WD+RtOIRgSX9" + "TBoy9Hq93W4Ha50hAHqB8sDH/wibzUYJokiSBG0zNSDf0BoTOfhUgWZYAaIvvy51OqgywTA50dAa" + "Rq2EIAi73Y5CeAwzoP0gzkPf4DiOAnaidlKv8lGJOeyuTx2ZOHdgGI3c3FypVIqsf4fas/Cawz5E" + "EgZEtYAvgUmjdh/7OW2gSgZsJFQV/whg/RBVVN0IlIeoXZGizEGBGr4RMoaCK29eXp5IJCIIQqfT" + "bdy4cerUqUuWLEGOEidOnHjttdeWLVt20003MRgMvV6/d+/e3bt3//jjj2w2e9asWXfccQf4Og1q" + "pRiNRhQWOzaUSiUKuiGTyZCFRZikNR7NEiJyeBbErNRmo++pLGtSSBRoL5Eawo6PsG0zzFcfmg1j" + "groQuyPUGiC/QGzELgNzlJWVhegWkhFQbYgGRCLHZVZWVpqctinFgH3EKWrnpA9IEiuEqhJJzZvI" + "eR1n/ejI6I+6qMdof3fXFDUvTTC4YCqxgYYSbSJMJlMkEg02RNnwg+yLMh8WsG20gOwzJIYQaCPd" + "nHhB/tyfEG66Y8eOBRdZkiS5XO4QLGavQIz4+ERtQJytIkkyapDhYUZPTw811tfQgPdvfxjjEbzP" + "vgaSn8ESTlBl1N+zkVx0PI8khcCofDuGYbC6UTy/4UdZWZlYLO7o6KAmLKB2NsZYhSER+gcIBIIk" + "WhvGbkDUqUdEGLXYkBVo8WDIzoeJkCUQYU9Pz8WLF4VCIQRF37Fjx/79+8ePHz9z5kwWixUKhZqb" + "m7/66iuHw1FTU6NSqVCO6Pb29m+//XbGjBlKpfKFF17YsWNHdnZ2dXW1z+fbt2+fy+V69NFHwest" + "/kbCNjgg54njuEajQQZNeJ+icmhqgDAtgsvlghTxkdqF+JdDUtDZ2clkMsERIE5Kjj0CYUt7sL2I" + "52jI3FWSi5QOJmikRoU78ZDpiuyLUjaozSH+d3k8nlAoFDvgXCAQgN0JYh9SSwLPwuPx0iGSVOqQ" + "TDYbMXtWqxXcLL1er9ls9vl8ae4NAlRVVVWVrAvlMAPajEIHjxaAHi9swGEdRt75Mrj8QPY5Jzsc" + "jiQGBRwaJBIJpH5JEIMlWhQmF8OwYDBoNptdLteVcF1DzuEjhfb2dnB7S5Mz/kqY9Kiw2+3ggTmo" + "7qNAXEObPtDDHD9+/IknnsjKynK73RAUkM1mL168eOzYscjRGotmHQZXHZIke3p6Tp06VVpa+sQT" + "T1RXV+M4vnnz5r1797a1tVVUVAyqRyqVKp7yJEn++OOPEFAwubFUcRyHEQB3lZGiRmjJv/71r+zs" + "7FtuuYXP54+goRYCdTRg74oMsjWEERtOq8Mrc3uJBCJ1iPSZ5pz2kNsWCoVsNpvZbJbL5UlXH5J9" + "YdVtNltNTU2M6EvNzc1qtZrP53d3d0skEqq9cCAQMBqNEIAznacgQSRZmw2q7KysLDab7XQ6IVTp" + "aBEBpsllawgAbUBra2tXVxdEw4rzwai2jokjkQmlqrb8fj+KI5qkpmWQRsD7AsBKJJJU27wMmPzP" + "ZrOh3DPDBhzHs7KycnJyeDyey+UagjK8P6TaWiwRQKtUKpVareZyuQPGQ04RVCoVONyOuCUFID0n" + "K6VAgrZB9R2eqqiokEqlEC1/CGAwGEwmk81ms9lsCIkvkUi0Wq1Op9u3b9+UKVMmT56MOPkY8nfw" + "MsBx3GAw9Pb2CgSCZcuW1dXV5efnD61h8SAVTmFoVWIjSorQr1Ao9Mgjj9Dp9EFFmR4GAO1ZLBan" + "05mTkzMEDo3NZqe/gScVyb2ApcN1DhoAgY3TnMdOEE6nUy6Xp24RqVQqyAbaX4FQKHTu3DmZTCYQ" + "CBQKRRg7zWQyB4xXdxkgmWw21sfvVVZWCoVCo9HIYDDikUTGH/kjg6iAS0B2djZ4dsWj0wZZPpKF" + "o7sCtQAW87gFk+/IiUOR5OKZ0NhltFptU1OTx+NJh6jpGYxqGAwGFFc2agHkET1sgCXW3d3d2dkJ" + "CcZpNBqfzx9CQtRIgG0Lk8lM2yvdiC9np9MJW+XoFbCOdgANDNZlFM4CpVJJDcAzqJfiOF5cXJyX" + "l3fhwoWHH374pptugu+bm5vfeuut7du3v/322yqVCoL2YRG+39R/xWJxSUnJF1988Yc//KGqqqqq" + "qqqurm7ChAlSqXSwFD7iKwIwss0AQ0iPxyMQCNJwYcLgSKVSSDQ7hGfHjRun0Wi0Wu1w6rETgd/v" + "j5rTfgjozwpgRHDZx8QmCCJOA5khAKqFuPf9vcLr9TIYjOXLl8NKSTw9wShFSnYxJPoFY4DYmxFJ" + "kpBwOBUtuUIAoy0SieCOPmB5iPvi9/vdbrfT6YTrJjUYDNaX8jo24xHpUgt5TXw+X1KisoM2e8TN" + "iTNINYbh0FWpVLGDk0kkkjhTi/cHFGsgzvsTko6BSDgQCPD5/EmTJuXk5CTivQJvR6b46XyZQ30M" + "BoODym2TFBQXF0NasjTRZmcwKAyZsJGPFSTwA7U25LQrLy//7W9/W1FRceTIkcbGRixa+NywYCIi" + "keixxx679957xWLxsWPHNm7ceO+997766qudnZ2po6vUqeBGnP/p6upyuVx2uz2d9y4cx4csAvB4" + "PGCjl9wmpQLQSHCmSNCAAp51OBw9PT2JpA3PYEAkK0JhnO+K8X13dzdkjoxRw4hvOMOAJGuzqSAI" + "wmw2X7p0CbSRqXtRBmREaqjYhX0+H4R+OX/+PCREqaqqKisrU6vVKEXhpUuXLBZLYWFhf8yJyWQ6" + "cuRISUlJaWkpilXr8/kaGxtbW1urq6tzc3MTWUIkSYrFYoVCAXGYr4TVmEFKEZuEXC6Xz+dLhMwg" + "eTjUEE94fLwvzh8ypvJ4PD09PU6nE5IMDa0x8BSE1ErzVYN8a/V6fUNDg8lkSlY6q3iQ5oNz5SAR" + "Oh8awFcFUuYiMS4IXCQSiVAo7OjogO+zsrJ4PJ7D4aBqAlBGDwzDQqGQQqF45JFHbrrppu7u7oaG" + "hn//+9+bN2+WSCRr1qxJkblmQUEBRBdPes0jDpVKxWAwxGLx5bc8YcPn8/mQ9Av09ukMmAKlUknN" + "v5BIVQKBgMPhpIk2+3IF6LpgkFM9zv3VjzxQLm+b/DiRQjY7FAqJxeLCwsLYJw2O4zKZLDMTiQDv" + "Cxg24LoiSdLlcv3www8bNmw4ffo0juMQ5e+f//xnUVHRH//4x3nz5kFYwvfff//AgQNr166dP39+" + "mAU4pL35/vvv//znP19//fUPPfSQSCQCc6D//e9/Tz/9tFAofPLJJ9VqNZbYZUgoFAIHMuQaMsgA" + "MCAdjhs3DvwSh4yLFy+6XC6JRCISieAihdZjf28HtlwkEoF1t9frbWpqMhqN6c8hJwjoOI/Hg90G" + "Io56vd7h9A2xWCxer3d43pVBGgI01ciMy+/3+/3+EydOdHR0gGkYSZLFxcVqtfrUqVMNDQ15eXk4" + "jptMpr1793Z2dk6cOJEkSYfD0djYKJPJNBpNfn7+1KlTc3Jy/vznP7e2tprNZiS2TmKzcRwHv/Ek" + "1pk+QNkNR7ohKQH408VOvp1uSKLbEUEQlyvdpgPg9IRMmUqlcsTFGZm5BqRktSO3XhzHA4FAIBDI" + "iDTSAXCZ+Oqrr5588kmXy3X77bfPnj173LhxbDZ7x44dL7744j//+c+cnJyxY8dC4bNnz7a0tMyZ" + "MyeqIBNsn7xeL0xxMBg8fPjwunXr5HL5unXrJk2alLgszev1ut3ujFd2BqkDIq3m5maDwTC0zKKw" + "43366acff/wxm82eM2fOggULioqKpFIpssWIwW+PHz9eKpUaDIYk+iKOiiUDCb3g8zCI3hGQluaK" + "9RbLAMdxOp0eCAQuXbp09uxZgiC0Wm1ra+u7777b1tZ2//33V1RUQHqtqVOnHjt2bP/+/SDz3bVr" + "16effmo2m8GA6/Tp048++uikSZPuu+8+uVxOkmRnZ6fP52MymamLj42U7aMaMU72UbF9XSEAOk9D" + "P/kMwpBqk4H0vIpDGBo2m522JJp8NhtZL9NotO7u7nPnzs2ZMwdi+kXOEHwZdeZGdkYjhalpSF4I" + "aKxiGI3DRul2uz/++GOTyfSnP/3ptttu4/P5QJqrV68+ceJES0sLBFtGkxJDqAxlgMRDodChQ4f+" + "3//7fz6f75577pkwYUJSYtqZzWatVuvxeDLZszNIEVBKSYfDMdiIx2G49957tVrtBx98cOLEia1b" + "t06bNu3GG2+sqqqSSqVCoRBlqqPy2/D35MmTBoMBS00A4fQERE/Mz88XCATg+DcizchsKaMdQ55B" + "kiQFAgGXy92yZcs777xDEITH4/F6vXQ6/Ze//OWtt96alZUFh84vf/nLixcvfvbZZ7t37wbtd3Z2" + "NqR0ZjKZlZWVlZWVu3fv7urqKisrs9vtBw4cCIVC06ZNUygUqRAekSR54sQJSJ0wepnttIqGlUEM" + "BAIBh8MhFArTIa1aBgMiWfHqIpFuAhfYn3t6ejo6OiZOnJhuWQkQksxmQycNBgMEwRKLxSjzeGT/" + "wYUgqqKbJEmU0xx9E7WS/pBIPht4O4ZhQKxwkhEEkQ67TNR+IZY4xqEODx49evT06dNTp06dM2cO" + "h8MJBoMgFsFxfM2aNT09PUVFRXEOGkzNhQsXmpubrVbrU089JZFInn766bq6ukTOTuRhjuM4RFMb" + "vTeJDKhIT0FJcXGxUCjs7u6ePHkyGIUOoRK4fIvF4l//+tcXL148cuSIVqv95JNPvv7667y8vBkz" + "ZsybN6+qqkqpVPJ4PHgFGKzCmDgcjtGV8T5xUKV4iezVibcBkNlnRimGJpaCqb/uuuvKy8s7Ojrc" + "bjc4euA4PmbMmKqqKqFQCIcpSZJZWVmPP/54ZWVlV1eXQqGora0tKio6d+5cVlaWSqWi0WiPPfaY" + "QCD46quvjh8/TpJkeXn57bfffvXVV6foPorjeE1NzTvvvDNSmfASBNmXE8vlcmVnZ2dMHdMc4NED" + "KpnMTF2ZgDVrs9kwDEN740g36v/fxtVqNQRaS4cmRUUy2WyQcwSDwfr6elBQzJ0796qrruLz+VHL" + "Q6RrLpcbacFPkqTVauVyuRwOB4464LrjHEcUPHYIQw/vcjgcJpPJ4XCEQiEulyuVSvl8PovFGllB" + "DkmSkFwhMvkWjuMulwucGzEMA6ORSMbm8OHDRqNx5cqVcLxhfUppkiTHjx9fVVUFzC16KoZ6DbRz" + "Wq1269athw8fZjKZDzzwQG1tbYJ+R36/H+67JEnK5fLi4mKgn7RdQhnEiUAgEJk3DpB0FW78nJte" + "r3e73RiG6XQ6u90+hDQ8AOC0q6urH3/88T/96U/Hjh0LBoM2m+3MmTMNDQ3vvfdeVVXVokWLZs2a" + "pdFoJBIJh8OJOhqjhc6TwhvD9hIMBmk0mlAoZLPZw999EOpptVqTyQShHIe5ARkkAolEMuTIhZMn" + "T548eTKS6mLR6BkOR7lcfs899yBBNo7jtbW1qLBGo3n22WcfeughrVZLo9GKiopSHckPQqClqPJU" + "A4ZFIpGIxeJ0UF1kEBssFkutVo+WgymDKw00Gq20tDSd98MU+mZjGKbX651OZ1Q9No7jx48fP3To" + "0NKlSysrK1EZOMn0ev3mzZunT58+Z84cFovl8Xh++ukntVqtVqsHZHShhsbGRjqdrtFo2Gz2oFru" + "9/vPnz//xRdf7Nmzp7Gx0efzaTSa+fPnT5s2bfHixXw+f2S3m9OnT7NYrKKiIhBAoGZjGFZfX9/W" + "1ub3+wmCqKqqys3NjWSzjUZjMBgEr1GMcg+g6sOp5cGSlslkUn+CD4WFhUKhsL6+/ty5c3K5fP36" + "9YsXL04kvCH0oqWlxWAwwOtoNFo6L54M4gHixwwGA4PBkEgkkVcrZDPcHx8+WECquQGzCWIYZjab" + "IQ5WY2NjT09PgnH7SJKcPn36kiVL2traurq6oKpgMGi1Wg8dOnT06FGxWFxRUbFgwYK5c+fm5+eD" + "8zZVqZvI24cTyH4skcUuFouZTCaEd87Pz6fGVx9OFTeO4yBFHREeO1kOSqOLfhIH0N6SJUt6enqY" + "TObQjBHCyIwaVgaVAU47shj1TKTT6fn5+fn5+VgKhIb9NTutENakAYmQujNfaaSLkIbzmEEGkYCF" + "GTsl6ggiiVH6UoHU8jDd3d1WqzWq8grH8T179rzxxhsKhaKiogJd1xCv9emnnwoEghkzZrBYLL1e" + "/8wzzyxfvvyWW26hhu6MvIpBzaFQ6Omnn9ZoNA899BDI4eIhDqjtzJkza9euPXfuXGlp6bRp0wiC" + "6O7ufu+99zZt2vTOO+8sWrRoaCqXRCxm0V7sdrvXrVunVCofffTRoqIi6qDhOO71epF9O5vNjion" + "7k9mj0W7W8BIRr0F4jiuVCoFAgGEaWUwGD6fz+fzJULu0AutVut0OuEM5vF4cPdNz7WdwYDwer02" + "m43P53M4HEgoEHa7AisMk8nk9/sxDBOLxRKJJJHpBnLt7Oy02+0FBQVg4BSjsEAgAGsaUD5bLBYs" + "MTYbx/HJkycrlUqtVotiJcCS8fl8Op2ut7f38OHDb775Zl1d3fXXX19eXm6329EqA5uddCZ4si+c" + "qd/vFwqFQ/YQge0FffB4PBAsE+oHK/qUGpSi2SFJ0u12AwUOM8BzivoNcuAfVMfBgAs83lNkPjdS" + "tv2x0dnZKRKJYNMYbNvifyR2SXQKR+XSU4F0mwWMQsmwyePxZZZGPmLw7xAof8jI3CviR2agMgBk" + "Vs0QkFo2m8/nx1Amw80gqhBUpVKx2WxUIDc39+67787NzQXWEZ1qcLGINCb3+Xy9vb12u91kMlGT" + "9MRDH2hMe2QAACAASURBVB999FFjY+N11123du3a/Px8YAM2bdq0ZcuWnTt3jh07tqSkBKNIuFFf" + "sGjnKyoDCvwYBaK2EH5F3XS73XAdjCoEjSoejor+fqUuITj8wDI86uMdHR1ms1kmk6nVaq1W+/e/" + "/53D4SxevJjH40V2ZFAI4/aHXE8GIwjE7h48eLC2tra8vDwqP0aSZGdnZ2trq8vlIgiisLAwKysL" + "LZYhvx1SksZmsAmCcDqd8Gocx7u6urZt27Z3715wmgjTXOF9ftTYz/kNZHEK/4ZCITqd3t7ertVq" + "w15Hfcrv97e0tLS3t3/xxRdKpdLr9ULEfjqdrlarY4sG0gTIbiURHttqtULQKY/H09HRYbPZWCwW" + "VGixWEiSFIvFqYuY6vV6EaXl5+cnhfAGC6/Xa7fbIZQJEI9AIICgqYMSMZAkabPZdDodQRBqtRo2" + "4eQC0sKnT8wqWFNdXV2TJ0+eOnXqiGdaHhHpWDrMBUyE0+m0WCzgGQROMQOSClzhgsGg3+/3+Xws" + "Fgsc4qhBeRDAqi5Z/SVJMh0y4FyWmc8zuFyRDrvNaERq2ezs7GyBQBBb/gFbLUEQVEOsMCtKgiCW" + "LVtGPcZgd4b7MXg5Yj8/53AcN5vNLpcLKkc19ydhRWrwxsZGGo22dOlSYKcxDBOJRPfee6/T6SRJ" + "El1fkOwWBLeBQADvi2yEXgEyAhzHwYQVxAFRC1DbgHpB9nmYQy84HI7D4YCqhmxrBG2A2OBhQwGv" + "Q42BdnZ2dlqtVhS3iQoul0un07Ozs5977rnvvvvuzTfffO655zAMA057yAuSKtuO3xs/g3QDTFxe" + "Xt6NN94Yf66FxK+q8Hh5eTmGYSCQ6q9CkiR7e3sbGxttNhuDwdBqtdu3bw9jm7Gfy6Rwivko3pdS" + "gVoe/kWZeKO+FD4AbRuNRoPBgB7n8/lFRUUSiQRL4yMNGsblcodcA8g47Hb7//73P51O5/f75XL5" + "pEmTIDIzlBGJRCDmS8U4wJQ1NDSYTCb4RiaTwa4V+6hKYmOAVL777ru9e/dqtVpwzxGLxVOnTh0/" + "fnx5eTmEsx7wjWRfcJp///vf27Zty8vLg/xSSeT64BVNTU1utxtCBmIjSp9IVhUKhdra2t59910W" + "izV16tQrU9Mysl0GMtbpdLt27friiy+AGZ4/f/6sWbPGjRuHpGZREQqFjEbj+fPnL1y4oNPpVCqV" + "RqMpKyvLysqi+sRhGBYMBjs7O3Nzc5PFaYdCIYPBIJfL49xhIu9LiQCdAnq93ufzZRzUM8hgaAiF" + "QpA9MX3in0citWy20Wh0Op39/UpV1aJAu3C1Ak4SbUaBQECv1wsEAogFGgqF3G53W1vb119/jeN4" + "VVWVSqWC2GBqtRoYe6jKaDQ2NDRA5Xw+Pzs7G6Ww6g+wj+t0OpvNBgHiITzP448/7nQ6kXOC1Wq1" + "2+0sFstqtTocDp1OJ5FICgsLkfoFxKV6vd5kMmm1WoPBkJ+fX1RUlJWVBa+A+I1dXV2QQ4skSYFA" + "kJ2djbTBgUDAaDTqdLojR454vd7JkydD97u6uiwWy4By4qiseFFREZvNbmtrM5vNyD0bSnq9XoPB" + "IBKJqBdoNpsNodSolcAFLjc3l8fjmUwmgiAefvhhkiS3bNny7LPPYhi2dOlSqGQIJyK6HYZCobDG" + "ZDDqwGAwBvQjGLLMaMBqjUYjn88XCAT9lWEwGBDdkCAIyDYJBiPgcwGSJo/HA4XBOSIUCvn9fi6X" + "y2AwvF6vx+MhSZJGo4Hluc/nc7vdTqcTvqe+iyrAQtI0cLsAVTbatUYFt5B4I0G0Cr2OzOMQGRcz" + "6eju7na73dCGyspKlUoVz6aalOOc7Isw/8Ybb3z99dc8Hg/Fvzhy5EgwGFywYMHDDz88btw4qgV4" + "mMSH+uWRI0fefvttgiB+8YtflJSUhPHYVEsK6r9h30e+BaNsyK+//rrFYnnkkUcgUuaIk2hHRwcY" + "AvT09ECk2ZFtz3DCarXCHUkoFAIrO4LT0dvb+8QTT3z11VdsNrugoMBisaxfv37btm2vvvpqTU1N" + "f3ws7M8vvPDCjh07/H4/m80OBAKBQKCuru53v/tddXV1mDJco9EMypJiQMuUQTG3Ho8nnrNssDh9" + "+rTVas3Pz0/RIZhBBpc3/H5/d3e3SqVKoqlL0pF8NpuqxnE6nTGigMIFwmAwWCwWcHiGewwk3PZ4" + "PGaz2e12CwQCo9H49NNPL168eOnSpQwGw2q1fvnll6+99ppWq83Kytq4caNEItHr9VlZWc8///ys" + "WbOAV29padmwYYNer3e5XD6fT6VSrVmzZsWKFVGDCeN9RqFz5sw5efLk3//+d7fbffXVVyuVSpFI" + "BBdu4LFh+965c+eePXuys7NPnjyp1WrhnFi6dOmqVavGjx8P3Wlvb3/++ee//fZbgUDgdrs9Hs/c" + "uXMffPDB8ePH0+l0t9u9e/fuF154wWg0isVim82Wm5t7//33L1myBBiDpqam119/fffu3V6vl0aj" + "yWQyuVze1NRUXl4e+0oBAh5qwHCE6upqpVL5xRdf1NbWzpkzBxz5QFJ75syZ11577YYbbli0aBHE" + "HgNxg8PhkEgk6FYNcgccx8HQESaRw+E8+OCDzc3Nu3fvfu6552g02uLFiwfrxA6FpVIp+Asg+om/" + "hgzSECPCNMIbRSJRfxcjWO8qleq3v/0tSN8WL148ZsyY8+fPnz59uqysTKFQcDgcLpfb0tICobA9" + "Ho9cLne5XHq9vqKiQqVStbW1NTc3u91uqVQKzint7e3ffvvtxx9/3NHRgVH6DhsI/Mtms9lstkql" + "4vP54MJ9/vx5SJWBDSk5woggkUYifTifz4cJYjAYw6/Sod7aY19zyT53dBzHeTxeUpoKVg82m62o" + "qOgvf/nLpEmTIJvGiRMnXnnlld27dxcWFubm5iLTBmRhi/W5vwKpQMvPnTtnMpkeeOCBFStWQPgS" + "qqQ1GAyi8sgYCtUZljiT6i5OzWrhdDqPHz/e3t5eVVWFLK1GhMGDNzY1NVmt1kAgIJFIKioqkpWk" + "Ov2FXCRJ7t27FyREY8aMoUZpGWbABWDDhg27du1as2bNQw89BJqAZ5555o033ti8efNzzz0nl8uj" + "xrwkSfLll19+5513rr766hUrVsyYMcNsNr/++usfffSR2WzeuHFjQUEB2Wdj6HK5WCxWWCUkxeYx" + "curh5hl2+UYrAsdxuVweD8FAH8+ePZufny+XyxOU5uA47vV6kd8fk8nMZDfIYKSQ/nvdgGCxWAUF" + "BWnei9RqswOBQIz4n3DL+eyzz0KhEJ/PB7V2MBjk8XiHDx/WarX19fU9PT0KhcLpdJ4+fbqwsHDe" + "vHl0Ov2999575ZVXJk6c+Pjjj1dXV586derFF188e/as1+s1Go2wg9PpdLPZ3N7evnLlypkzZ7a3" + "t7/77rsbNmyQy+XXXHNNfxJWHMdXrVrV2dn50UcfPf/881u3bl24cOHixYtLSkrkcjkk9ALS7Ozs" + "PHTokNPprK2tffLJJ9ls9q5du3bs2HH27Nl169ZNnTo1GAy++eab33777fLly2+77TY6nb5z5863" + "336bRqP96U9/Kioq+uabb/7617+y2ezHHnts4sSJra2tb7311l//+tdAILBy5UoMw15++eXPP/+8" + "qKho1apVhYWFX3311SeffGI0Gh0OB9Kw9YeWlhaj0UjtI3yuqKiora3dtm3btm3bhEJheXk5l8sl" + "SbKrq2vLli0HDx6cM2cO3J9yc3PhJ5/Ph9hdGFiIymsymbxeL7KZF4lEa9euZbPZu3fvfvnllwUC" + "waxZs2LbjEUOPo7j5eXlcP6Bli/DZo92jNQOiON4bFMIaNjMmTPr6uqwPv5WqVTOnj2bev3SaDSR" + "6j74RiKRTJw4EVUVDAaLi4s7OjoYDAZIr0AmhWEYg8EA7jorK6u4uLi2tnb58uUajYYgiJ07dz71" + "1FOQOzAlA5GugN0erpi5ublyuZx6CU7pq6H+nJwcZDrU09NjtVpje8Un13AU6BMErEwmE2yLMAxb" + "sGCBWCz+3e9+V19fr9VqxWIxRnF/dblcgUCAzWaDhAKI1u122+32QCDgdrv1er1CoYCtG+3Pdrud" + "wWDw+XySJIPBoMfjgdglKFclVAXMM7wFOHOhUIjMd3Ec9/v94HCEeJvURVyjIqpmEskRksilBIPB" + "MLlDegJl7oSJw0b0xtzU1KRQKH71q18plUpo1ZNPPvnDDz8cPnzYarUqlcqw8mASotPpduzYoVAo" + "Hn/88ZKSEoIgFArFiy++aLPZvv32W2pKcIPBsGvXrpkzZ5aUlKBgMWAtSO01mjUgjC+//DI3N7ey" + "spLKacOeg/c5+OA4PqDROPwKZoYJjjBsIP8fe98d19S5/39O9iIkJCSBDBL2HoIMFUVU6rZqbdVa" + "bR2t9t5Wa4e3vR3W9tpxq22ttVartY5a66IqWldVtCKiDMHFkCmBEAgzOzm/Pz4/zvc0IEYEwV7e" + "f/jC5OScZ53n+cz3586dO6WlpUC4GBYWxuVye3ZjGcAAnAHI9v3ZCfy3Qc+r2Vg7EASBijX3OgBg" + "x7x27dqdO3cgUBy0MpAPmpubiRsoiqJXr16trq4WiUSHDx+2WCxz5swZPXo0giCjRo0SCoVLlizJ" + "zs4uKyuDKrgQ4fnyyy8vWLAAYr+VSuU777yTlZU1ZMiQTstawqNdXFzeeeeduLi41NTUzMzM3bt3" + "7969Oyoqavr06aNHj5ZIJHAxyBYxMTErV66MiYlBUXT8+PFvvfXWr7/+eujQIV9f3zt37pw4cWLw" + "4MELFizw8/NDUdTf31+tVp85c6agoEAikfz8888NDQ3/+c9/ZsyYQaVSIyMjvb29X3755a1btwYE" + "BGi12oyMjJCQEHB0IAiSnJzs7u7+7bffQs551++Gq6sr+ITxy0BxZbFYc+bMuXPnztGjR0tKSiZO" + "nBgZGWmxWDZv3nzlypXRo0fHx8cD2W94eLibm1tGRsbBgwchSRuOKKFQOHv27ODgYIlEwuVyW1tb" + "8eMtJCTkyy+/lMvlP/74486dO+VyeUBAgPPHPxw2ubm5NTU1SDuj28AWMIDeBjF6GeAQud21NI9/" + "a7fbjx8/vnnz5oqKClCwme3w8vKKioqKiYmJj4+XSqW4cIyiaHh4uEAgKCoq+t/xaUBPm5ubgQIN" + "QRC1Wq3T6dD2bBHUOZpihODL6kYzxGIxrkOWlJTU1dXJZLJO7waf9CDJFpw1ZDLZ1dWVQqEACxSu" + "OOFpBfggGI1GtVp96tSpvLy8trY2mUyWlJQUHh4OFdEuXrx49OhRtVq9Z8+e4uLiuXPnJiYm6nS6" + "S5cuCQQCBEFOnTrl7e09YcIEBoNRXl5+8eLFX375paSkRKFQjBo1auzYsWFhYSwWy263V1ZWnjhx" + "Ii8vz2Aw0Gi0hISE0aNHe3h4QEsgmTYvL6+pqQnDMCgtKRKJepsQHnjyuohM6alntba26vV6Ho8H" + "EQGPBfpw34CRnzZtGo1G8/T0BCMOyAmQUwOmQwcZAP4LZK6hoaEsFgsyuoECcNmyZePHj1epVEi7" + "Vnzo0KEPP/zwgw8+cHd3d3FxASnRYrFoNBqDwdDU1EShULhcrkQiweM46urqVqxYMXLkyLffflsi" + "kcD6hHIG9fX1IEHx+XyBQMDj8bpevfCVTCbrqWXW1NQE+dg2m83NzQ1E3wE1ewCPGFarVa1We3h4" + "PO6adv9vfA+r2RiGQa4yOLHlcjmPx+uoaMF/IyMjoX7P3LlzlUql0Wj08vKCApiZmZkbNmwQCoVE" + "xlSDwWA2mwsKCmpqauRyuVgshmBOBEGCg4PlcnlpaSmfz6dSqSC1iMXi0NBQLpcLwXiQNY1XveoU" + "KIrabDYajTZu3Lgnnnjixo0bBQUFu3btghLfCxcufPXVV8ViMfhvMQxLSUkJCgpCEMRqtTKZzCFD" + "hpw6daq0tLShoaG0tLS1tVUsFjc2Nt6+fRsEd7FYbDAYysvLb926VV5eDh6MkpISpF2yp1KpkHp9" + "/vx5jUYzZswYDw8PBEHg3Bo1atT+/ftx2p57TQGCIGVlZQ7ebARBwMMWERHx2Wefff311+fOnVu3" + "bh3U2abT6aNHj37llVcgrw9BEBcXF09PT7Va/cMPPxBvzuFwoqOj/f39yWTy4MGDuVwuuPtAcKTT" + "6cuXL6dSqTk5OQ0NDd14ASwWS/cqoA5gAA8E2CVwrQb//F6LtovPwbVSXFy8e/fuiooKUK35fH5I" + "SMjQoUOjo6ODg4O5XC4eOt7jXrjHDiiKcrlcFxcX2JMNBoPJZAJRGDLqHTiQ7gWQ7LvnfoRabjAL" + "QqGQw+E4E0TaI4Az0Wg0ajSa1tZWs9mMh5Lq9frdu3er1eqYmBgOhwPXnzt37vPPPy8vLxeLxWw2" + "+8qVK7/88sv06dOXLFmiVCrLysrgAGptba2vrwcFPi8v7z//+Y9GoyGTyVQqddy4cQkJCSUlJR98" + "8IFarVYoFElJSfX19du2bTt27NiHH36YkpKi0Wjef//9s2fPCoVCPp/f1tZ29OjRsrKyl19+2d3d" + "nUqlNjc3b9q0CXgHKBRKa2vrk08+uXjxYpVK1XuatsViuXXrlkgkum/y/MODxWIBHUmvPuVvA5iO" + "WbNm4QKA2WxuaWk5e/bsjRs3Jk6c6ObmBlYzYqAK/JfNZvP5/Ly8vMzMzMTERIjasFqt4eHhERER" + "eABFdnb2xYsXIcPOarUmJSX5+flRqdT8/Py1a9fW1NRUVlYCFesrr7wyduxYJpMJ3m+dTnfhwoXj" + "x49PnjzZ3d0dwzCtVpuWlnbkyJHCwsLW1laJRJKUlPTMM88EBgY+UNjdAAbwNwCFQhGLxf2ncsTf" + "GD2sZkMQDp6K07XK5OHhwWQyg4KCJk+erFKpIPwMvpLJZAcOHAD5w+FXWq3WZDINGjTI09MT5GPQ" + "TqOiojIyMnQ6HZB+QyA6eHRBAoAyJzabrYsmYRhmNBpRFKVSqRQKJSIiIjw8HJTbn3766cCBAyNH" + "jvTw8EDbM9wEAgHwnMPhMWjQIDc3N8iLBlbwX3/99ffff8f9M62trVBGIicnp7GxsbKy8oMPPmAy" + "mSAYYRgG6X8QpE0mk4ODg93d3fGoPKlUyuFwtFrtfeNL7zXyIOX7+fmtWbMGXOu5ubkcDmfkyJFR" + "UVE8Hg+fO6lUumbNmvr6egiywvP6WCyWXC4H38J7772H/DWbFMMwBoPx+uuvQze7bqQD8D6CXwVU" + "+o4ZWQMYQI/AYrEA5x/oVw9zKxRFGxoaNm3adOvWLalUGhQUlJycPHz4cJVKBSzrYL9DCNUEkP5a" + "iPiRAVKKOqZWOskaivvEmpubXV1dnVTLAXAlEN3hW00XtSeJv+oR4EZJPz+/P//88+jRo9XV1ZWV" + "lRaLpaCg4NKlSwEBAU8//bRCoQBt/ODBgyUlJfPnz1+4cKFQKMzLy/vvf/976NChQYMGSaXS559/" + "Xq/Xf/31188///zLL7/s4uIC2zi4Fp966ql58+b5+/sjCLJ+/XqNRjNv3ryXXnpJIpHo9fotW7Zs" + "2LDh9OnTkZGReXl5BQUFI0aMWLFiha+vr8FgePvtt2/evFleXg7HkNVqLSsrmzZt2ty5cyUSSVpa" + "2ubNm5lM5tKlS0GT6ZEhcggRp1AoCoXigea323jsFOweMdU5uRF1cRlE2mu12j/++OP06dNHjhxx" + "c3NbsmQJhA3iBLdEggChUDhv3ryvv/76lVdemTt37pQpUyA+DggpQWaz2WybNm06fvy4Xq+/ePFi" + "fX19SEiIr6+vyWT64IMPcnJyhg8f7u/vTyKRTp48+eGHH/r5+YWEhBQXF//4449tbW0VFRXr1q0L" + "Dg7m8/lGo3Ht2rXbt28XCoVxcXEMBqOlpeXgwYMXL178/PPPBw0aBE+81xruwbVHnLL/2c1/AH0O" + "FEUfo5idxxo9HzTO4XCg1JPZbC4qKtJqtXhlLAcYDAac0AVpP1xtNhuunkEdRYdfCQQCGo1mNBpx" + "bl74eXV1tcFgcCiRhQNFUXd3dwaDgQt2HQE6dlpamouLS3x8PFSUsdlsYrF4/vz5YrH4+eefr66u" + "tlqtuO3T4W7w36qqqsbGxmvXrjU2Ng4fPtzT07OkpITH4/n6+tpsNqVSOWHChD/++AMCsEeMGCEQ" + "CDAME4lE0F+pVJqQkHDhwgWwDYMfG8QOLpcL2YP3VbO7cMvAWUKj0ZRK5fPPP4/LNB3HTaFQKBSK" + "Lp7SMa4bv083jGRoe2Qm/vLjDEADGECPg06nS6VSpIdkHbFYPHny5AkTJgQFBcEeBYYz3Hc9ULXF" + "AUaj0Wg0wlbGZrPxJBe8mkPXgGtoNBoETnd7EiF6s6Kior6+Xi6XI39dD72U8gq3NZvNdXV1BoNh" + "9+7dv/32G3wF9PUjRoyAjBsEQa5cuZKbmxsdHT1u3DgOh2M0GgMDA998883ly5fn5eUlJyeLRKKQ" + "kBA+n8/hcPBSGrCrJyYmLlq0yNfXl0wm5+fn5+bmBgQEjBkzhs1mt7S0kEiksWPHHjlyJD09fcKE" + "CaAC1dbWVldXQwjuSy+9ZDKZfHx8kHbyy6lTp7711ltKpZJMJo8bN+7w4cNZWVmlpaVAqNEjgwPn" + "OIvFgleGRCJB6PsAOsJsNuNKbLcBcs594xHgVe24j5FIJIiJO3z48Ndff63T6Zqbm8PCwqCCDIhV" + "cCW1HWDu/+c//2mz2Q4cOPDzzz/v2rUrICAgPj7+ySef9PPzAyo1Mpn83Xffvfvuu1u2bJkxY8aK" + "FSs8PT2pVCoEDM6YMePNN9/k8/lkMjktLe2VV165cuWKt7d3bGzs7t27k5OTVSrVxo0bwStw4sSJ" + "nTt38vn8devWxcXFwdtx5MiR1157bevWrSqVSiAQQEcG/HsDGMAAehA9r2YTHREajQbcsw7XgJCR" + "nZ2t0+lIBODKIdykoaEBEq3xO6MoGhUVJZFIMjIyioqKJBIJ+M8bGhru3LnT0tKCtVfhRv6qamIY" + "hofkAfmEA0DbLC0t/eabb4xG46pVq4YPHw62bbvd3tjYmJGRwWAwiPIciqKVlZWNjY1A3oOiaElJ" + "CQQjsdns0NBQHo83aNCgxYsXg25MoVBu3rypVqtpNJpcLmez2RKJZNGiRdHR0XCiQLQ8iURiMBiu" + "rq4kEikvL6+mpkahUEDaUl5eXlVVFdyq05F38njAnWm4EIl1KFSDthO/d/wtcQTudfPuAfRzGGQM" + "w8rLy7uOkB/AALoN4grvEbkqKSkJt1jhL9eAxNYpwIb48BkiD19lB2ZKJBKBZE+cL2ALo9FoveHk" + "xAic3ikpKbNnz4YCE1lZWTt27Dh//jzOlHH58uXa2loMw44cOXL+/HnQBIqKiqqqqm7duqVWq0Ui" + "Uae2YwzDwEMI/wX2sps3b+7cuVOpVIIHsqmpSa1WNzc3azSa0NBQqVR68eLFTz75ZNiwYUqlMjAw" + "0N/fH+5gNpvZbHZSUpKvry+sbaVSKZVKz58/X15eHhsb+/BLHU7hK1euWK3WQYMG9WAy/N8SKIoW" + "FxdXV1crlcru3QFrp9DX6/VdFJGGy3Q6HYZhbm5uHSUN+OGoUaP8/Pyqq6t//PHHy5cvb9iw4cMP" + "P6yqqjp37hzExAmFwqioqKCgILzo6auvvvriiy8ePnx4586dFRUVP/30U2pq6rvvvjtp0iQmkwkZ" + "fIGBgTQazcPDA4QiDMO8vLy2bt0KKRVqtbq1tRUaADIemUyGnGcgnoSmnjlzRq/XT5o0CfL44L0b" + "PHiwh4cHULWJRCK73V5TUyORSAY07QEMYAA9hd5lGu96qwLS1Af9uYuLS0REBAgiPj4+AoFAr9f/" + "9ttvRUVFnerP+K14PB6bzYak5Y7HEghYcrlcJpMdO3bsxx9/pNPpwcHBUF3s8OHDv/32G+RYgj7c" + "1tZmtVpPnDgxYsSIYcOGUanUlpaWI0eO1NbWDhkyhM/ne3t7c7ncurq6pqYmyDsymUw7d+48derU" + "qlWr4uLi5HJ5VlZWYWFhVFQUhUKB3M533nmHwWB88skniYmJhw4dunHjRklJiUQiIZPJJpMJPOQd" + "hwWkEzzV0MlAsvvqALjOAMntvc3SAfYRoJdHUZREIkF14l596AD+lwGeQ1hmDylXOSjtPSKl9ZIr" + "tZ/AbDZDUUAEQVpbW8Gi2lf9hTLpDh9aLJbGxsb7kiR1AyiK2mw2Op3u4+PD4XCGDBmSkpIC3JND" + "hw7FMOzbb7/Nzc2Ni4sDQg0Mw8rKyrZt20as40WhUORyOcT+QEEvh4Xn0GYej0en01taWs6dO5eV" + "lQUfAjHH+PHjAwMDg4KCli1bRqPRbty4sWPHDjAxzJ8//6WXXvLw8KBQKMCWjxBCCYDSvKdI8uGI" + "gVSshyd2/tsDrCQtLS2gxHZjuOAnEJ3XRbgNXAZx+x11bLy0m5eXl0KhwDAsKSnp6aefPnTo0IIF" + "C86cObN161YoP4ai6IIFCxQKBRBVwCdsNjs8PHzLli0oih44cOCLL7749NNPVSpVTEwM3B/kn8zM" + "zBdeeAFMUaBLHzhwID8/v6ioCGQ/nU6HNwmsb7Abg48a0t8gzZtMJoP7va2trby8HOqVQheAknBg" + "4Q1gAAPoKfSumn0vfQ92saioKAjkdqAgggsYDAabzQYtC0hcmEwmkJPPnj371q1bP//8c1lZWXx8" + "fEFBwcmTJ6urq4leVmKKOBHBwcEikajTJkGG3qRJkyoqKtLT02/cuJGcnEyn00+ePKlWq+l0+lNP" + "PQWJQAaDoaamxmQy5efn7927l0qlCoXCc+fOZWRkuLm5jRs3TiaTSSSSmJiYtLQ0JpM5efJkHo93" + "69at06dPKxQKmUwmEAimTJly586dQ4cOubm5eXt719TUbNy4sby8fObMmWKx2MfHZ8iQIQcPHty6" + "davNZpPJZHfv3j148KBWqwUONmLjDQYDnU53d3eHeMv7qtkP5MGzWq0NDQ1cLvdB62A/KND2oHE6" + "cry5MwAAIABJREFUnQ6SZX19fVtbW+89cQD9DUajEegYelvQwdorsuK5Jw+PHrdDQUGmv59rBbrj" + "6ekJfBlQvKev+gj6SVVVVUNDA5hf8ZbQ6fRepd1C27nEcUJmoHOLjY399ddfjUYj+NzAxDl16tQx" + "Y8YAORxYXcGYC2W6gDWdaGPtqC3AfcLDw1euXInHzSIIotVqKRQKBGYnJiYOHTq0pqamsLDw3Llz" + "Bw8e3LNnT2Rk5JQpU1QqlYuLC1GjRtt5qnp2WEJCQnrjtn9L9Eg1Ndx60jWIfLQ4TCYTUM9CTWkI" + "GxSJRHPmzHnvvfcyMjKmTp0K6Q82m43L5cbGxrq4uJBIJKPRCOVagTCSwWC4uLi89NJLOp1u7dq1" + "aWlp/v7+IPLV1dXhMS9gi6+qqnruueeqqqpkMhmPx4uJidFqtdeuXcMdLUSiHxqNVltbm5+fD0UT" + "r169ajAYZDIZ0L5ERUUlJiZC4h6o2Q85mP0H/+PcHwPoDXRaW3EAXaN31ex7AeYpJCQkNjY2KSlJ" + "JBIRZw5FUTDwR0REeHl5IQji6uoK/JOenp52uz00NHTDhg3ffPPNiRMnMjIyWCzWsGHDCgoKbty4" + "Adsrg8GIiIgoKipyiPFWKpVyufxeJwooqM8880xoaOi2bdvOnTt38uRJu93u4uLy9NNPjx8/ftiw" + "YVDfm8Fg+Pr6stlsNpt97ty5M2fOIAhiMplUKtWSJUuSk5MhYOmdd94xmUz79u1LTU2l0Wh6vV4q" + "lS5atCgwMBDDsLlz5zY1Ne3cufONN94gk8kGg4FKpU6YMOH555+XSqUkEunf//63p6fn/v37//GP" + "f4D9NSAgICQkRCqVCoVCKDMOstSFCxf8/PygCpozHjC85IYzbwuZTIaC4c7PLw6MwC9634vhGryY" + "7QD+pwC8BiUlJUR7We8B9888GmqlbgDDsMbGRiqVyufz+2cLHxIOneopY0f30KlL1hmTZY8AFGDw" + "KKIoCrpHVVVVfX09i8Xy8fFxdXVlsVjx8fGg9qMoWlFRcezYMZPJNHjwYBRFw8PDhUIhcJ7BqSeT" + "yUArxhOC6HQ6JDwDIEW2sbFxzZo1bDZ74cKFXC737t27np6eYAseMWIEi8XauHFjUVER1PcCPYo4" + "aGg7HsEoOeAR1OvubfSIIvTIAmccrsGrQM+cOXPYsGFvv/02aNoIgpjNZtjGZTKZXC739vYm0rjA" + "i5abm/vRRx8tX7586NChCoUCCPYoFEpMTAzOewrX+/j4QPkYPBnnk08+qaysXL58+XPPPcfhcCgU" + "ysmTJ1988cXCwkK9Xs9kMmk0GpfLhYttNhuPx5PJZKWlpStWrBg6dCio2TQaDUEQtVpNpVIh3tDJ" + "cXhcoNfrW1tbhULhAC3IAHoKLS0tNBqtt11ufzP0mZqNIIhYLF6zZo1Dch18xeVy33nnHby6LJfL" + "/eyzz3DHTm1trU6nW758+b/+9S8IO6fT6R999FFNTQ2Xy4W85ddff721tZWowJNIpE8++QSUxnst" + "EXAvhIWFffrpp1C2BGkPq4NGOtjvX3nllcmTJ2/YsKG8vDwpKWny5MlKpRKnrPT29t64cePFixdL" + "S0uNRqNAIEhMTJTJZNApCoWydOnSUaNGXb9+/erVqyiKzpgxIzIyEs9MFgqFb7zxxqxZs3744Yeb" + "N28mJCRMnz4dwzAqleru7m40Gg0GA5SRhH8hNd2Z1a/Vao1GIwhz972YRCI5SUrkABg9mCAnY84h" + "hAxOWeyxLXqEt/xe3p6Ogf2dXtl13zudkU5v+1gAgmNFIhFUuRsAiqJisRi53yTe95Xvtij/CJwh" + "DyrZd32lMzfs+ucd8cgsPkTAbllWVqbVamUy2aBBg/z9/f/444/w8PAJEybQaLSWlpYNGzbs3bv3" + "9ddfHzRoEBSlI5FIOp2ura0N7MheXl7wKuFjKJFIRo4cuWHDhh07dnC5XJlMZjAYfvvtt7Nnz4Jp" + "+NKlS59//vnkyZNnzpzJYDCsVqvFYgFPI4qiQqGwY90HIqNK7w2IA6BHXC73sa5DAcZu3O/ajTG0" + "2WxQSvrhp8B5OzjxvxiGgbL6888/h4SEQEI1iqL5+fm7du2SSCQRERGQyIaX9cIrGhYXF+fm5q5d" + "u9bDw8Pb2xvuA8XArFYrFLuGkQkLC6NSqWAwAm7drKyswMDAadOmcblcoBgoLi62Wq3l5eUmk8ls" + "NtPpdF9fX2A0sFgsdDp95MiR2dnZV69eTUlJkclkYCNoamp64403VCrVW2+9BRRoj/7E7I0nwgtS" + "VFRUXV2dmJjIZrP7sC435J4MFAbvWzy8/QhrL+2BtKeZ9FDT/v7oGzUbgKIoi8W617ew7QIwDAPR" + "Aeygp0+f3rBhw7Rp05555hk3N7e2trZbt25duHDBy8sL2DVAR+3IfeqMmxRtrxBGbADRJ0CEWCwO" + "CAj48ssvsfayYQhBZcIwjMVijR49mpixSZTnyGRyREREWFjYjBkz4Ljt6P6Vy+UffPABnCXEm0N4" + "IdwwLi4OguSdDBrHj/Zetd2aTKbKykrgI2EymbjzvOsngkXj8X2HQXgCSQJ3TzmIQRCrDH/jYwJn" + "PHGNQcBCp0/BUzQ7Ppr4Cf70nupd7wEaKRQKXVxcHlm0W/8fmfuq0HixwC4uQDpU3eviznhUGCwn" + "XNjtE1gslk6zph0A+zNCiNt/XGaWRCIRKdbQ9lxZBoOh1Wqbm5vtdrtUKn3ttdc+/PDDr776Kicn" + "x9PTMysr68qVKwqFIiQkBM47CoXCYrGAvB2/FZ1Od5CHpk2bdv369dOnT2u12vDw8Js3b+bm5gYH" + "Bz/zzDPg7qPT6Rs2bMjNzQ0JCVGr1SdPnvT394+Pj4e6lR3Tu6hU6gPFOkGhyh5xr+GT/pjCaDQ2" + "NzczGAwWi4W205o+0LrFMEypVHp4ePTJG4r7EpYsWbJ27drPPvusoKDA09PTarVCNdbFixdDQS+H" + "6Ya9aMKECTt27Lh69erGjRsnTJgAFePS09N3797t4+Mzbtw4iMWAASGTyXq9HuhmmUxmQkLCoUOH" + "cnNzYU1qNJr9+/e3traGhobiKf0UCkWv1+v1evjvM888s3v37sOHDycmJkL5LpPJtH379szMzOHD" + "h4Mh6dFvGmQy2Ww2GwyGhy8qSQTcKiwsLCwsrA+DPkAEqq6uhtq9fdKGASAIYrfbm5ub8UKP3QPu" + "HO3/Z2t/Qx+r2fdS8zq1m+L7YHR0tEAgWLNmTWlpKYSLX758ub6+ftmyZaGhoR21WRxOapVwDfEO" + "xGMMl4Q4HA6IR6Bg40QgDs3G74P9lXmY+BScOdzhQbgBGDRw4s3ByQCX6XQ6JpOpVqubmpo6NQc4" + "AA4/59+WB32vcNr2ZcuWWSyWlJSUESNGREVFwXB1IQpjGNbS0gJ0KQ/0xP4AmOumpqaGhga1Wt3Y" + "2MjhcCQSiUQiwUm2YBLv3LlTWloKiWQwCAKBwNPTE/xFMO82m626ulqv18PNYYWAcm4ymRgMhkwm" + "w61UIHE2NzfrdLrGxkYoh8NkMgUCQc+e348Mj2Obexz3HQSLxWI0GrtQdSwWi0ajodPpPB4P1+WA" + "jxePFSICwzDYTFgsVnNzs9FodHV17VQGfaB8kG5Do9G4uLjcN2ESmgoaC5TCxhXCfr6QPDw8EhMT" + "/f39cQEIwzC5XD5q1KgbN27gvYiPj3/vvffWrVt3+vRps9lMo9EGDx48b948iBhHEITH440bNy4y" + "MhKPKqfT6SkpKW5ublDnAm6uUChWrFgREBBw/PjxI0eOoCg6fvz4RYsWBQcHIwgybNiwd999d82a" + "NefPnz937hyDwQgKCnr55ZfDwsIQBHFzc1OpVA5zER0dTSaTIbfLmeO1rq6OzWZ3LzwKB/y2ubkZ" + "eBweMR5+5cP5eO3atT179nh4eIwcOVIsFkPZlAdatyiK3r17V6fTKZXK7rXkIQGNfPHFF6lU6o4d" + "O86cOQPJaCQSafHixXPnzoU07I6/wjCMz+d//fXX7777blpa2t69e3FBKCAgYPXq1TKZDF9OVCpV" + "pVJlZmb+8MMPy5YtUyqVo0ePPnPmzLJly2JiYthsdmFhodFodHFx0Wq1ZrMZjkUfH5/jx48fPXr0" + "6aeflkqlXl5eixYt2rx586pVq/z8/JhMZmVlZXFxcXh4+JQpU4BZ7dGDyWTW19c3Nzf7+vr2uIew" + "z2PFoTsqlaqfb8J/exgMhmvXrkVFRT2m0uDjjr5Us5EH1/Rgg/b391+9evWuXbvOnj17/Phxq9Xq" + "6em5dOnS6dOnQwDVve78QCvsXheDEDNixAgURSMjI3FjYadi7n1NpM5c0Gl7iIpofX09k8mUy+Xu" + "7u6oE1W1exvQKaVSOXjw4J07d3788cfbtm379NNP/fz8JBIJPked+lrxUuSP3XZgtVpramp27tx5" + "4sQJtVpttVpRFJVIJFOmTJk4caJKpYJ0AJvNtmbNmtTUVAqFQqfTrVar1WplMpkgN8fHx4No0tDQ" + "8Pnnn2dnZ8PNcaMMhLp5eXn9+9//jouLg2+NRqNGo9m7d++JEydqa2vBi8VkMmfPnv3kk0/287o4" + "kOpDIpGIfLl/pxy53gCGYVarNTMzMycnx8/PLyEhwUF1AaPP1atX161bFxQU9MILL8hkMgRBUBTN" + "z89vbGwMCwuD7QK/HkXRpqamLVu2DBo0aMSIEVwuF9iGmEymu7s7UQrEMMxgMNTW1vL5fDytsTcg" + "Eom6FhZhrzh16lR6erpUKoUKDjweD8pHP0xEbm8DGjZnzpzZs2cT+whNfe211+x2e319vcFgYLPZ" + "GIYNGTIkNjZWrVar1WqZTCYSicC8C9e7ubm9/PLLDp6refPmIX89mDAM8/HxWb58+auvvoq1l3Mn" + "RtwkJiYGBwffvHkTRH+FQoHPe1JSUmRkJFFpRxDk2WefnT17tvMeM3d3944u8e4ByFMf/j7OA0YM" + "P14f0k/o5uamVqt//vnnLVu2BAYGLl++XKVSubu7g0XVGX0byqBoNBrIau6rRY6i6AsvvPDss8+W" + "lZXp9Xo+nw+msS6aBIJcYGDg9u3bs7OzDx48WFZWhiDI5MmTJ0+eDFsZ/NZut7PZ7FWrVi1dujQt" + "LS0lJUUqlU6ePNlkMu3fv1+r1Wo0mrFjx7700ku7du2KiIjA0wafe+653NzcTZs2CYXCGTNm0Gi0" + "xYsXjx8//ujRoydPnoQU7hdffHHu3LlyuRx5hFsE3i8EQdzd3RUKhYuLy2Mdwdc1/q79eozAYrES" + "EhJ6ZIsYmM1uoI/V7G4Apjk4OPijjz6qq6uDuspCoZDP5z+akwZ3L8TGxvZhQA7xuYGBgQiCVFZW" + "6vV63KmOOh0s0Bttgzj/t99+m0Qibd26tbCwcP78+QqFYurUqcnJyRKJxNXVFap6EElBURTl8/mQ" + "StR1sbd+BRjnu3fvvvfeexcuXFCpVAsXLhw5cmROTs5PP/30xRdfZGVlvffeeyEhIRiGNTY2lpaW" + "crncN998MzY2tqqqqqSkpKCg4OzZs7m5ue+99960adOgQntQUBC+wLZv385gMIYPH+7p6Wk2m0NC" + "QkBrAoqXP//885tvvrlx44ZSqXziiSeio6MzMzPT0tI+++wzFov15JNPErkA+w+gSUqlMiAg4OrV" + "q8SKLN3DY2qgeVBAN+vr6z///PPTp0/HxsZ+9NFHQ4YMwVcLLMjGxsbvvvvu2LFjeXl5sbGxUqkU" + "vv3uu+9ycnL+85//pKSkIH8Nq7lx4wYIr6GhoRKJJD8///333xeJRG+88UZERAQetmO1WtPT09ev" + "Xz9v3rzp06ff11bYbRAzd7qAh4dHYWHhzp07qVSqv79/UlLSsGHD/P39BQIBXsnCeSchEb1t7gEt" + "t2PwDnwOznmkvdk0Gs3Lywt3HTv8pFO3YcdPoEf4htCRORY4ROBv4lMYDIZEIrnvQ7uGkxPaNSCx" + "Njo6+tChQzU1NQ9/Q2cA+RdWqxUSc1AUJZPJREuH84DYND8/v9WrV69cuTItLe3YsWNZWVmDBw+e" + "PXt2SEiIQCCA8tT3tRP1h5RX/LgHOQRw3xcHbc+qGzZsWEJCAsgtOK+Ng3chMDBw48aNbDbby8uL" + "TqdjGDZjxoypU6caDAagvKVSqa+99hpxA1QoFD/88ENlZSVELKPtntXFixcvXLgQaZ/BvjLDwZvF" + "YDDuVRNnAAPoKaAoOlAZtw/x+KnZOEgkEoRaIb0gXjvcEFxDDkda/5Hm4aSnUCi4ocHFxYXBYGB/" + "ZRF7+AY/kNBpt9uZTOZLL70EFdcaGxsbGxtv3LixadMmb2/v6OjoiRMnBgUF8fl8PJgc50fpb0Hj" + "JpMJKHw7PRFBAktLS7t69eq0adNeeeUVqVRKoVCioqJSUlKWLVuWk5NTVlYGhWqAWCg5OXnMmDEK" + "hSIsLAx+/s0336xbty4zMzMxMVEqlUJ1E9xzcvv27erq6vnz50MYBVE+qKqq+u677/Ly8l599dXn" + "nnsOyvxOnz49JCRk7dq1Z8+ejY2NBdG8/6xYHDDRdDq9R0QNqM71N/YMEGE2m9va2gwGQ25u7qVL" + "l8LDw7lcLnwFo3rx4sVr1661tLTU1tYCGxCsGYPB0NraajabO44Sh8Oh0WhGoxEyGlQqlUqlguI6" + "UqlULBbDDlBYWPjll182NTUJBIKeHeoudpiOezL+VUJCwieffPKvf/3r/PnzFy9ezMzMXL9+fXx8" + "/KRJk6Kjo2UymZubG1Sl7ijHdw2r1Qr5nw/ds65wr8bggT/IX60hHVU7582pDqdYp4caTi3Rn98j" + "NpuNJ1v1Nux2u8ViaWhouHHjxt27d61WK5VK9fb29vf3B7ftg6q7YK5SqVTvvvuuTqc7c+ZMTU3N" + "0aNHjx8/rlKp4uPjZ82aFRgYyOfzYW/E7w8mBvw+ePBX3wKnesGXjTMrh2gThFes46qDv5lMZnBw" + "MG4bgn8pFArseB2XK7zjXC43NDQUPoF8NCiG56ByPOJFDj2F6K1bt24ZDAYWi9UfzCUDGMAAegOP" + "q5pNlAYcdtge0SpBBsXldYvFYrFYgEHtgQ6SRwNoiUQiEQgENBrNYrFYrVag3ARXCbQZ38qJUiYw" + "PBPv1qkMiqu+HTveUfzF/SQIgggEgvnz55eWlubl5aEoarVaq6ura2pqLl269NtvvyUmJqakpISF" + "hYlEIg6Hw2AwzGazA49X1w3rbUDvqqqq2tralEolJHERmwHaS01NzaFDh8Ri8VNPPQVBaDBicrn8" + "rbfeOn/+vEKhgB9CnvadO3e0Wi1cCURTSUlJe/bsKSkp0Wq1eIIlLt+DAcLBuQEDsm/fvry8vNmz" + "Zz/77LNCoRCeS6FQpk6dWlpaGhgY6Obm1n/Wai8BhqK2ttZms4lEov+FghPQQT6fj2FYbm7u3bt3" + "iYvTbrdnZGTodDogWCL+EH+XHbxGKIqGhIS4u7vbbDYoDeXh4fHJJ58UFhbu2rVLpVLNmjWLTqc3" + "NDSsXbu2oKBg8eLFkLXbU3DYuon/BVMUnnQNWgqoHPBqhIeHz5o1q7a2tqioyGw2NzU1nThxIj09" + "XSQSDRkyBKxOHA6Hy+UyGAy73X5f6z4MTkNDA51Oh/j5Huxpt/FoVnW/Ot3uBZwCDdYzLIYubDE4" + "0PuxhDpcADo2hCYdO3astrYW1o9AIHjhhRfmzZsnlUrBS+/8oMFmbrfb/fz85syZU15efvPmTQRB" + "zGbz7du3i4uLjx07NmLEiDFjxgwZMgSKPOOqrJOPePTo3rJx5lfgwe64XxFlv46GJ6LQYjabs7Oz" + "o6Oj+zw91c3NDVwg/dCdMIABDKDH8biq2Q4gblggIIKBuRv7KdynqKiIyWTixRVramru3LmTlJSE" + "IIjJZEIQ5CFLFPSs+72j/qnT6S5dumSz2QQCQWNjY1tbG4VCEYlELBaLwWBAEVToGijkdDodZzqF" + "5F4ieQl82NbWBgQnQqEQ52wHSdRms7m6uoJVoq6uDm7V1NTEYrEaGhq4XK5UKs3NzSX22mazlZWV" + "VVVVHTx4UCaTJSQkQKV0tVpdU1PTsUe4IPXoz0gURaEECKQpOpz30KoLFy5UVlY+/fTT/v7+RCsM" + "hmFxcXGDBw/GhSSdTmc0Gt3d3XEGOzKZ3NzcfPr06bq6On9/f9CLHIQPfOgcOMYtFktubi6Px0tO" + "TobydfiD+Hz+u+++CyXcH+2APRgsFovJZHp4gaPP7V89+1LfF9DTQYMGcbnc/Pz83NxcCNoECb62" + "tjY7O1uhUCgUiuLiYsh7J7bzXnD41t3d/Z133vn4448PHTrk7e09aNCg7du3X7hwISEhYcqUKQKB" + "AOmJLttstqampra2NvDd4TeEegoYhkEMCESUgEfabDabzWYKhQLvkc1mmzVrVnNz81dffVVeXg53" + "MBgMsMmkpaX5+fkFBgYmJyfHxsYKBAIoBdRFk9D2sKCeyiXuBvq/rtsngGHBDbIYhlksFiiO5XBG" + "wKmBYRgQ4yHtCdVYOwM/cSfHjdEoiuKmXrDmZGZmrly58vLly3iZT4vFYjAYfvjhh8bGxpdffhlK" + "Q5P+Wle8CxCfO27cuLS0tFu3bkGeFJiQamtr9+3bd/jw4YiIiNmzZ/v5+SmVSoFAAD78PlfPnDF5" + "O2kWxxkZu7640439vj/B/wbWQDx6vE8Aj/b09BSLxRUVFUhnpT3/HugTj8gA7ouBeekTOKrZj1hS" + "fEg4VDyCNaTRaMxmM6iCuGMHcTqLCW6i0+nMZrOHhwfSnjCMx2CXl5fbbDYvL6+ObiLnAYJjTxXL" + "wa25OGpra1evXs1isQQCQVNTU0tLC65mczictrY2ULPJZLLJZIKwJShNSaFQqqurKRQKn8/H20Ym" + "k6urq1tbW0HNFggEoISD+KLRaECfh+7U1taCHAMxWi0tLRwOB6rt4X3Hl5ndbod6bMXFxbt27fLw" + "8KDT6bW1tR37aLVagbYE6nA+siVqMBiAyZnY/o5zV19fb7fbxWIxvuoAKCFCFf4AbeHKlSvnz5/H" + "lZ+TJ09u3rzZYrFERkZ2Gojb8RMYw8rKyoqKipCQEC8vLwdjP4IgoIH0W0AXNBpNUVFRS0vLw8wp" + "LsEgfbd9GY1GtD3r9RG0AZ7C4XCmTJny/fffX79+vb6+XiAQgJqdm5ur1WpnzpypVqvLysqcFOZw" + "DyHRQZSSklJTU/Pf//73iy++mDRp0v79+1ks1pw5cwIDA3uqpzqdbu/evQiCKBQK8PYgCGI0GmFr" + "otPpWq22uLjYbDbLZDKpVEqn0wsKCsrKyiQSib+/v0QiYTAYFotl2LBh+/btKy0tJY4SlDO5evVq" + "bm5uWlqat7d3QkICcA3el6q6i5KTA+hb5OXlabVaFEWNRmNFRYVarRYKhXCEEcPQDAaD1WqFMuAY" + "hoGaarFYWltb8cgg2DmBtJLD4VAolJaWFlCbzWbzzZs3V61alZGR4UAXgqJoTU3Nrl27PD09FyxY" + "cF/DDRGQjAB2ASaTKRQKqVQqeAiIL6DBYMjMzLx69SqHw/H19R0+fPioUaMCAwNbWlr6UD1zMDR3" + "CpvNptfrO63YjHcQDxix2+0ikahXbegoijpTz7VXAXsR1HFwcXHpD+aSXoKThRgH8IjxeOl3fxt0" + "omaDlNb/Z8Jms9XU1MB509jYaDAYwNfR2NgIanZQUBAUY9TpdDQardMdvyPgGiiUglNDGwwGb29v" + "pN2xiSBIt6NS4Whpa2trbm4GgbI3hhq3uIPKCv7Muro64jX3sj5AWmZ1dTX+CTGw3GQytba2dvwK" + "HuQQgg5XNjc3wz07NhJ3IECRXtwN5eDIRVFUrVZfvHgxOjrax8cHfFyPIIwTnM9AXoqLBTB3fD4f" + "TONwlly6dAlBELlc3jF6EFdFgG2ovLy8tbW1srJy5cqVeCxca2urxWJ56623nnvuOTc3N8SJrRAa" + "09zcbLVaOz3S+v8rjCAIyLUQCtG98jzOnBy9bZeB+7e2toJbhs1md5vqyRkRlgibzebp6enm5pab" + "m1teXg6LB8Ows2fPslis0NBQjUbjpPSPYVhDQ0NbWxtCGE94PcePH3/s2LEzZ86cP3/e1dV11apV" + "Y8eO7akceLA9nThx4tKlS0CSDw5kIOGHTdhkMrW1tVmtVhaLBamMTU1Nra2tbDabzWYHBQUplcrG" + "xkYMwyorKx06hYc52O32xsbG7OzsvLy8HTt2MBiMtra2/i/pQvvxdjo55g+/5vutTIa2x0OBnddi" + "saSmpjY3N0dGRra0tLi6usI+bLPZ1Gp1cXExRAnpdDqLxRIVFSWRSMrLy69evQqREWQyGQ6UhoYG" + "MpkcHx/P5/MvXrxIIpG4XG5NTU1OTk5+fj5o6cTVAp7zhoaG77//HsOwmJgYFouFYRjE5uCmVeSv" + "xlb4YW1tLYfDgdLQVCpVrVY7ZFkTn2WxWHQ63ZUrV3Jzc3fs2BEfH5+dnQ2vQ5/MjtVqbWpqAkP8" + "vRpgtVrr6+vpdDrsEkajEaesh+0IDxIRiURIv1xmvQez2axUKpuamhwcRX8DwDqvq6tjMBgQ6zSA" + "/gCsvZIIjUZ7GAfhALqB/1OzcakdwzC8InT/BO5w/uqrr/7880+LxQL6ts1mo9FokEdnNBr/9a9/" + "zZs3j8vl/vTTTxKJZNy4ccRCEV0D3ClYO6PvunXrSCTSqlWrEAR5SJsoPB28x73H/4yiqL+/v1Kp" + "JFpMcQ0WLCmNjY1UKpXJZOJfgdRSVVUFLmuHSjAOXXD4Cv/QQVZAUbSkpKS0tJSonDvcB56Ltsfy" + "OQi+4PJNT08vKCgICgqy2WwNDQ0uLi6PwNGEoijRQdrp3EEfmUym2WwGRatTzzO+8EA0odPpYWFh" + "bm5uzc3NJBJJrVaXl5dXVlbqdLoHopXC23Cv07o/hwnBjLu6uspkMiaTCQqe8wBJFETkjtYZ4mWP" + "puANiUSqr68vLCwMDQ11nnOOKHoiCGK1Wh1YjrqGyWTi8XhRUVG7d+/Ozs4OCwuj0+kajSavbIzb" + "AAAgAElEQVQnJwcIzDq2gahCd7wbMbwcgGGYSCSaP39+YWFhXl6ej4+PXC6nUqk9uLRQFIUJAtXa" + "oUnwB1A82u122ElQFHVxccEwrL6+/uzZszh7YqcVCogRNKBvt7S0NDU1Ie0V6fstYD90ULO7WO0A" + "2BBQAh9HxwuIm9K9Ho08dNmq3gC8IHFxcampqRqNBkGQwsLCkpISWJMkEgl/2e12O85eCXoyqNZ2" + "ux1iTxCCaRjGZMuWLWQyGUx+JBIJgo/upQ7Bh2VlZatWrSKTycDIpdfru1azYdeCgYWvDAZDF0ZG" + "+CEs1Lq6uiNHjvStbgaj1/U2Baz4+PWVlZVyuRw07fr6eovF4lCRrmt0tPh0tDr1+UnnfAMYDMaV" + "K1f0en1cXFzXW32fd+pBAa0VCoWPuNldD5TBYMDZDf6XYTKZIAnr8VpUjzscJQycBbEvGvNgoFKp" + "EokkPDycSqXqdLr09PSqqqrBgwcDnzOLxYqPjwcdsqysrLa2Nj4+3tXVFf95p3pjpx/q9fo7d+7g" + "p2CPrNFejagB1WXJkiXPPvssUYt2QGtrK41GI7qkoPt1dXVUKhWvj+rkQdhpMwD//e9/v//+e1zN" + "xu+Ga0ckEgnqPPN4PLPZrNFoiEHmADc3t/DwcKFQSCKRHjIx/kHh0P1O587Nzc1gMBQVFTU3N/N4" + "PAehCq+ag6JoVFSUUCiUy+Wvv/56cnIyXHn9+vXXX3993759wcHBixYt4nA4HZdZF7NwL+JokNH7" + "oaD88IDwh7a2tqqqKjab7enpSQzsx2Gz2SwWS1NTE4/H6/SCngKGYeBflclkUEr6vmMOF4DDB96U" + "pqamiooKiHO57z4DjjhYXSNHjvz999+vXr06ZswYlUp1+fJlrVabkpICXHqdPtfBbQ5/34u4GPxX" + "kDNiMBggp6anxhO0QZVKNWzYMIeOE7UUh5/AZWaz+dq1a1qtFkEQEonU0tKi0Wg6WvTwXhMtMiiK" + "dhpl068AzAVUKhUsIMAQASLjvSJ6MAwzm82NjY0MBoNIsUEEFKZCUZRKpRJZrJH2099ut0OBPS6X" + "2yNVuHoQaHtANQwI0m6CgbMM/sYvJlpjURSFJG273d7RSothGJjXLRYLnJsAg8HQ0tLSdZMgStZi" + "sUAyEYlEolKpwJwKWU44657ZbAazss1mg2pzoLJ27CAA7wvMOOwVYDt4gCHrUdDpdLw6YKeAzZn4" + "iUKhAHMGmUxua2szmUzu7u5OPg7uhmEYMVELN3wQTSp9eNJhD8Idg7YzON734r7tVLfRq0dtpwDr" + "6r2M6Wq12tPTs5/n0PUqYFjEYvFjt5b+Bvi/0whGH7hq+zmgqVwud9myZSAr6PX6uXPnajSa8ePH" + "L1y4EIIi8Ffu448/RlEUQg0xAuAkIFZVBYM3fIjvbl5eXt9//z2uWRFlvo4eBiKIcV9deJB6A66u" + "rkqlklgPpiNAGegIqVSKtdfdRRDkXoLafQEnREVFBTAe49ItnpNGo9HodDqLxRKLxUqlMiEhYerU" + "qZmZmR999NGdO3eIXimr1Tp69GgMw0Ao5PF4j2YYnTGpQDcDAgK4XC6eXEfUEywWS3NzM51Oh7Rt" + "cFcyGAygnYMro6KixowZU1RU1FHkAuBR6x0/p1AoOTk5RUVFkCtL/BbCF3uqXFbvoRuzaTKZNBrN" + "b7/9tnHjxsTExBUrVnh5eTlIJBiGNTQ05OXlpaamLly4MCIiopeWDUyNSqUqLy9nMBgO+fldg8lk" + "stlsiJmHSrzOTBaKoiKRSCKRAO9xXFxcUFBQRkZGfn6+QqG4desWj8cLCgrqNPQGdDaIvCDSHKIo" + "6uLi0qmEdOfOnV9++cVgMPj5+Wk0GiAeDwsL67YNjgi73e7q6rp48eIXXnjhQWvb2O12vV4PLkcG" + "g3Hy5MmVK1dev34dIby8uIKNtBs1GAwGj8fjcDgVFRX19fUP2f5eArT/ypUr2dnZ7u7u5eXler1e" + "r9dLpdLAwMCgoCCJRNIxHgoOnaysrD179oSFhU2dOrVTz9L169eLi4ulUmloaCgEBaAoCsokh8Mh" + "k8n19fWbNm3S6/WzZ88OCgrqkYnuWcC8w6Hg5+cHWf10Ol0mk3l4eBCrkUPv4MSBACKDweCwncLO" + "7O3tTaVSKysrjUYjzoW2d+/ey5cvQ6xNxx0Y7uPu7h4RETF8+HCRSFRQUMBkMn18fKqqqm7fvh0W" + "Ftba2mo0Gn18fKxWa1FRkVarxTDMaDSGhYW5urqePXs2MzOzoaEBvzke24UgCIvFYrPZdDqdx+Px" + "+XzQzwsKCrRabb+NN9bpdOCyNplMoNswmUw3Nzcmk0kikZRKJfIguQ9Wq1Wj0YADHEQ7MDS0tra2" + "tbXhNO91dXU8Hq+vVCmr1drQ0ACFA500sDqDuro6gUDQn2NL+xxYe3CrzWYTCoWdjn+nUV0DGMCj" + "QSe52Y/RcsTDrsBsDwIiiKrE4xBkEVx6A11ar9dDOjeUmCaTyeCxAa+gi4sL5HLDnk40o2LtpWXw" + "/yKEoEf8obgJljikHS/rVXQtG2Ht+dsObF4dXfrdaDAMUX19/ebNm69du4ZH7WLtJDR0Oj0wMDA4" + "OHj48OEJCQkgC1IolOLiYlzQh5Y0NDQYDAaxWPyI6YhwK0nX3YdvfX193dzcSkpKamtrgd0EaX+b" + "7t69u337dqVSOWHCBKFQCNIhcUmAVdvDw4PFYkH0YMfXUCKRqNVqolwFk+vj46NUKvPz87OysoYM" + "GQIB53gY5J9//qnRaBITE2Uy2WPxXjsjzcPgZGRkfPvtt1lZWVqtNi4urmMROLvdbjabt2/f/t13" + "39XX10dHR4eEhPTq24eiaHNzs5N6Mv4THo8nFosh6YDD4SgUCgg9dUZWw12RbDY7KSkpMzOzoKAg" + "NDT0jz/+UCgUvr6++MWwkmGlKZVKq9V6+/ZtnU6HEwHAV9nZ2RqNJiQkBBfsMAyD9Jy8vLz58+cn" + "JSWtXr36/Pnzhw8flslkzrukugaTyZTL5bi+5/wP8UhdBEFMJtP169dxix7Sbi2FP1gslpubW3Bw" + "sFQqHTRoUFxcnNVqXbp0aUZGRo90occBvdi5c+fevXsh2Ac+B91y3LhxS5YscVjSMMs6nW7Dhg1H" + "jx4Vi8Xu7u4TJ050WPYYhv3www979uyRy+WrV69OSUlxGHObzbZjx44tW7a0trYqFAofH59H757q" + "ArBI3N3dmUwmuKAXLVo0f/58ULG69v6hhFjuTr9FCYWgILQbimJUVFR0TGhC2j3kEydOfPPNN728" + "vBzM8eC/JZ4j2F9JznU6XVVV1ZUrV4jBnEBQyuFwOBxORETE2LFjAwICxGKxRCKB7f2FF144cuSI" + "Xq+/V/HL3sa9RhiMzj/++OMvv/wCCSAsFstsNovF4jFjxkycONHHx8f5NA0wUmdlZa1fv16j0bz1" + "1ltJSUk0Gs1utx89ejQzM/POnTuffvqpr69vpzboAfwvAJYi5IE/jp7/R4mBwekTOO53j9E04Bog" + "hGOpVCoWi4V7ofHzEkXR8+fP0+n0yMhILpfb3NxcUFCg1+tzc3MLCwutVmtMTEx8fLynp2dhYWFa" + "WlpNTQ2KoiNGjBg3bpxIJIKYrj/++MNisUydOhVBEJPJpNPpyGSyTqerra3V6/UUCkUmkykUClwP" + "xDCstbW1rKxMo9GAV9bDwwOovOVyOR6P3auDgx/q93oWiqJms7m2thYcO0TFD/6AGPvuNRUS5r//" + "/vudO3e2trYKBAKLxUKn0/l8fkxMDLjChgwZAkHg8AgITBg0aJCHh8eNGzdA7rfb7VAGnKj0PppV" + "arFY2traWCxW1yn08FVcXFxcXNy+ffuCgoKee+45d3d3UFR0Ot327du3bdsWGBgYFhYmFAohObDj" + "fZhMJplMzs7Orqqq6ljpuiMpPSxvJpPp6+vL4XBOnjwZFxeXlJQE4jgw0OzYsSMvL4/H40GB7v4P" + "KGuM3C/vFEGQyspKyG3Lzs6G+AjiNSDIXr58OTU1FSKKexvQ4NDQUOfXJ8wgjUZzcXEhqrX3VTXx" + "nQ03XWEYNmrUqF9//TU9Pb26urq0tHTChAlQqh3E9+bmZpPJBCaA0NBQPp+v1Wqbm5txlR7sYjk5" + "Oc3NzVKpFN+jLBbLt99+e/r06SeeeOLZZ59VqVQvvPCCVquFpT5p0qQeJHFE751L3AVAb7FYLBcu" + "XDhy5EhtbS10mUqlcjgcgUAA5bKjo6MnTpwYEREBDnMSiVRcXNz/zzuIOl66dOlTTz0FYcO3b9/+" + "8ssv9+7dSyKRVqxYAbNM3O1zcnIKCwuZTKZOp/vzzz8HDx6MByjBv0ajsaWlxWg0Xr9+/dKlS4MH" + "D4ZYfXwx1NbWnjt3rra21mg0FhcXNzY29k+eKngFWltb7969C7zW91W37vttx/SE+Ph4Hx+fmpoa" + "SOd2CGHDMAwONblcDvZ9vKwXzBeew0z8EO5QV1e3fv36AwcONDQ04JlTNBotODhYqVROnz49ICBA" + "JBIRk6SAigKvA0KlUvuw4FxHQN/T09OLi4tnzJjh6ekJm096evrq1aszMjK++OILCDu6761g3MrL" + "y//xj3+o1Woej1dbW2u1Wul0enFx8XvvvYei6Lhx43ArBoxJXzmKqFQqFNTs2dsORPk6ia5N2wNj" + "OIA+RL9mf3EGWHuRpNLSUqAe6XjN1q1bITKZy+XevHnztddeu3nzplAo5HA4LBbr9OnTYrE4Ojoa" + "yKLFYnFtbe358+cbGxvnzZsnEAjKy8s/++yzrKyshoYGFot169at77//nkajXb9+HSrlGI1Gf3//" + "N954Izk5Gep+mUymAwcObN68Wa1Wu7q6GgwGLpdrMpkUCsX7778/aNCgfmJ1g7PhXi4+GFgglnNe" + "/IUpuHPnzscff3z58mUKhZKYmDh58uTS0tKoqKjBgwd7enriXiai8gz+/4aGBpxIDPK4mEymSCR6" + "9O4UMKXfNzAP9wQ++eST2dnZW7ZsqaiomDZtmlQqRRBk//79O3bsQFF0/PjxQAnD5/OhnDjxDgiC" + "RERECIVCk8nUKRcOhAB02sgFCxaUl5f//vvv3377bW1tbWRkJIvFampq+umnn86fP//EE08EBATg" + "yeEPMyCPABKJpOtMB6Q9d3TWrFkzZ87MyclZunQp0uEcRVFUo9F89913FoslLCwsPz//0fg6ujHI" + "GIYRM6JB3b3v6wbXQxgOgiAWi0UulyckJGzevPn06dNyuVwqlYLQDwmrhYWFtbW1sCbDw8O9vLyO" + "HDni4eExY8YMXDzNz88/ePAgh8MJCwsDzmQEQa5fv7569Wo/P7+pU6eqVCoMw6ZPn26321evXr1z" + "506VShUZGfkoI3Q6hcViyczM/Pjjj69fv85oh1KpHDt27Lhx43x9fSGZGY8xIaIPm+0MLBYLh8Px" + "8/NTqVTwiUwms1gs1dXVV69eLSsrk8vlRAupyWQ6cuRIfX39/Pnzr1y5kpqaGhMTM23aNLDjoO25" + "URaLBZKHs7KyysrK+Hw+LF2c06u+vt4hybm/gRizgBD05x6k7YCwoMjIyFmzZtXW1hYXF+M2X6Sd" + "pIPBYCQmJg4ePJgYNEd8HYh5GfAHnG56vf7bb7/dvXu3wWBwd3eXSqUKhSIxMTEkJCQ2NpbJZBKN" + "aMQNHO+p1WoVi8U8Hq+faNrQMJPJVFtby2QyFy5cGBUVBV/V19fPnTv3+PHjs2bN8vDwAImiU5UY" + "+2vKVWVlpVarnTRp0vvvvw/OfAzD9uzZo9VqN2zYMGHCBKBVQ1G0pKSEw+GAdIF02Io7Wk96bxwG" + "MID/KfSVbetxQf89RJ0E7s0OCwtLT0/vdLJtNhskxCLtQi2DwVi6dOmUKVN4PN633367adOmrVu3" + "JiYmfvTRR9HR0eXl5f/85z9/+OGHwMDAsWPH6nQ6vV5vNpuLi4vDw8Obm5szMzNLSkoGDRr0yiuv" + "JCYmHjp0aPv27Rs2bPD09AwNDUUQJD09ff369WKx+N///ndwcHBNTc1PP/2UmprK5/N7I5/K4WRy" + "/ocoit5LfcXaCwAYDAahUOi8zwp+CCXQxo8fHxISIpFIgLccjAsQeoe1l/LC22w0GnU63Z07d0wm" + "E9oeqgCx/X1CGUCn093d3Z3pNfQiISHhH//4x3fffXf48OEjR45AwpjZbBYIBAsWLJg5cyafz8cw" + "jEwmu7u7A8sO3k0URX19fSMiIjQaTad2Wblc3jHvCAZTKpWuXLlSLpcfPnx45cqVuHBstVoTExOX" + "LFkCiUmPxT5YWFhYV1cH2mDXDcZZamHxEJc9WL6+/vrr7OzsN998s7KyMj8/3/k2OJMpcC880K+w" + "dgYEtVptNBoRBDEajVqt1mg0dsqB1xFEUmUMw0aOHHny5EmdThceHq5UKiE8BOKlISQblpafn9+C" + "BQvWrVu3cePGc+fOhYSE0Gg0k8mUkZGh1WrnzZsXGhoKqn5dXd3nn3/u4uIyb9682NhYfGSmTJly" + "5cqVX375JTU1VaFQiESivjpoQf0oLCxcvXr17du3PT09AwMDQ0NDhw4dGh0dTXxlcNIE3Nn4WABX" + "tCDTBLoQHh7u7u7e1NREfK9hKPLy8jIzM729vSdNmuTt7f3ZZ5+dOXMmLi6OSH0PeVJ+fn5Wq7W4" + "uPj27duRkZFE/fDIkSMNDQ3h4eFQYbE/bx1Wq5XH4/n7+8Ne3eNNBU179uzZra2tu3btqqiogOqh" + "UO6LxWJFRkYuX748MjLS+UfjluibN29GRET4+PiEhoaOHj3azc0NjGL4pGNdEliCVQUIOJA+VR2x" + "dtZ6EK5CQ0ONRiPYbsBGIJFIhg0blpubW1tbCwEayD2qKxNJv+x2u8FggHgBYJID039VVZXFYsHt" + "C3AxWKIh6Q/2PZzeFWsvQICbPHqDrKQb43/fjag/v3oDGADAbDb3XtWkvwEeezUbad+qOBzOvepD" + "oAQGXdjBfXx8YmNjgf1ywYIFp06dam1tnTNnTnR0NIVC8fPzmzBhwpdffnnz5s3ExET8DAPOMNip" + "VSrVihUrkpOTqVRqaGhoZWVlenp6RUVFVFRUU1PT999/39TUtHLlyrFjxyII4uXlFRQUVFlZ2dzc" + "3LFezsMDP0ucFx9NJhNRRr8XjEYji8XCK146AxifiIiIsLAw8FrjDcOnoGP7MQzjcDg1NTWVlZVk" + "MplGo4HfQCQSeXp69h6vOJhgiHS73QaNRnvqqaciIyNPnz596tQpqBoaGxs7adKksLAwPLWSTCYv" + "X77carX6+PgQHRRUKnXFihXV1dWdxtSNGjUqICCgIxcRmI1kMtn777//7LPP7ty5MzMz02g0hoeH" + "x8bGjhgxQiaTPRaFAwAP6mDExSyisoFh2J9//nnmzJmUlJTRo0dv27btgRrQNWdpDwJrz6RtbGwE" + "6ZBGo+GM6M48XaFQUCgU0J9JJFJCQsK0adO4XO60adO8vb2hCzExMXq9PiUlBc9EwDDsySefDAsL" + "27Bhw9mzZw8fPozn3bz99ttPPPEE7so+depUaWnpkiVL4LZIu2WHTqcvXry4oqIiJyenpKTESWtU" + "76GpqcnLy2vEiBETJkzw9vaGsHC03TcLeKC3oM/1FgcYDIa2tjY6nQ4huHv37q2qqgLOBYcrMzMz" + "6+vrExMTvby8lErlkSNHCgoKiouLFQoFsTsWi8XX1zcxMXHr1q05OTnJyclisRhBELCQ3rx5UyaT" + "JScn79u3r3vKg9VqvRcRek+BuFH06kxBMvyLL744c+bM1NTUr7766ubNmwKBYPr06WPHjo2JifHw" + "8HigBsCwBAcHb926FU5houMaV1mduSeVSiXGKfQJ4C3DFWMSicRms8Eub7VawbwOgf12ux3SqWDu" + "rly5IhQKVSoVvtnabLaKigqhUAjMONevX9+/f79erz9//nxAQMCYMWM8PT2vXLly9+5dm822b98+" + "q9U6bNgwMEoyGIxr165pNBrYoEJDQ729veHYbWpqamho4PP5bW1tjY2NLi4unp6eA7xiAxgAEd2z" + "ldvt9rt370Kpl/5zYvYr/B3U7PuCRCKp1WqokoogCIqiYWFhEokEr2iCoqhKpfL19aVSqcB6IpVK" + "WSwWJDTiov+tW7cgEQ5BkCFDhoDOAxRiPj4+4Eey2Wytra1ardbb29vT0xPnUHFxcQkNDT1x4gQw" + "9PTscsTaM+6cqfOBtTOvkMlkHo/XRcQ4giAgQDyotAT0vzQaDTLTnLkDPM7X19dqtf766694oZ3e" + "E9RgHNra2qB+Eu5b7tgqJwHT6u/v7+fnt2jRIjywsGPQIIQ8OPwWwzCZTHYvrrKgoCBYbw7tb25u" + "tlgsrq6uNBrNz8/vgw8+AFIcFEX7c8DnvfCQrwaMSVVV1aZNmxgMxowZM5RK5QN5mfDKVb1dAAxp" + "X9teXl4+Pj5Xr17V6XQkEonBYDgzcTBQ8+fPt9vtbDYbnDNUKnXp0qX//Oc/iZn8Y8aMSU5OJoaV" + "4u/aF198UVlZCRmPUL0cUrLR9iCL4cOHs9nsSZMmEecFDGfe3t7r16+/e/du39px4NFDhw6Nj4/H" + "U/RxqqpuNwyYqHsw7bzboFAoer3+1KlTZWVlSqUSw7D09PTff/+dz+c/+eSTeKAKuD3b2tqysrIY" + "DEZ8fDy4RidNmvTZZ5+lp6dHRUUJBAJckKJQKDdu3Jg7d25gYOC5c+dGjhw5ZswYGMCcnJzq6upJ" + "kyb5+/t3z+1vsVgaGhpcXFyAF7qnh+T/Az+Xe/URCIJUV1ebTCa5XO7u7v7ss8+ePXu2rKwsICBg" + "9uzZQ4YM6faWBeooHtuFPPjuB4TwjY2NIMn01VpVq9UUCkUoFBJdxFqt9vbt22C/bmlpuXTp0u+/" + "/87j8by8vICkhkQiffHFF2w2+9NPP5VIJGAXa2hoWLx48YIFCyZNmkSn09euXXvq1Cm9Xl9UVPTJ" + "J58YDIZ58+Z9+eWXWVlZkJeXkZGxbdu2yMjIhoaGDz/8MC0tDWwWZrNZJBJ99NFHSUlJdDo9NTV1" + "+/btI0eOvH379tWrV0eMGPHhhx+6u7v3uQEaj7IcwAD6HN2rHkcikYCWos/Pyn6Lx08Q7wbIZHJZ" + "WRkxGxanSUPaD2k2mw1RSV3cp+O3eKBdXFzc/v374VbElYpLfqDP19TUQAGe3sjNbmtrg1KiXV8G" + "zwXfBYIgXbsduhdbZbPZoHz0A0WSgEKu1+ubm5utVivueeultxdtj5+8bzKw88ApvomhqkgHQZDo" + "siC2x5nl56AsMZlMvDAYrCuYMjxE9vHa+zgcjvO+3I4AX9/69etzc3Pnz58fHBxMjKToYnjhWyi3" + "s3fvXq1WO2fOnNjY2N52x6Eo2tjYqNVqTSYT/qEzv4XxwUm2cUAQpoOjr+MRiCtmSqVSqVR2fDRc" + "L5PJIIC/49MxDHN3d+8ppvGHBKm9JATSzvz8kDdsaWkBtuc+r4QHanZqaipUfQMLZnNzc1RUlEQi" + "wbdH6PuFCxcKCgoCAwMZDEZdXZ1IJHriiSf27Nlz9uzZMWPGDBs2DF8GED3EYrGGDh166dKl8+fP" + "x8TECIVCs9l8+vRps9ns5+fH4XAQpxekQ5uB1a9Xt26dTgepFr0HeBCfz4eIJ+KHbDabyWQCkfjD" + "dLPPNb3uAfgUwV/9zTffxMTEjBs3jslkwhItLy/XaDQvvfQSpKyDDbGpqemtt95SKpW4/EMikU6f" + "Pt3Y2CiVSuH0hx34wIEDiYmJfD5/zZo127ZtW7169ciRI1euXAle7vXr17/22mtHjx6dOXPm9OnT" + "/fz8EATZvHlzampqeHj4ypUrvb29CwoKXn31VSAh9/b2rq+vLygoyMzM9PPzGz9+fGxsbK8agJxH" + "XV0dCGD9oTH3Ql8lBA3gkQEXRZhMZqcOp64xEBjSNf4n1GyAg7jQqebT6bed6ioOH4JIQbyD1WoF" + "jbd7DoEHArREIBDweDwowOvkr3C3QzderS5ApVI9PT0f9IagY7NYrEdZ+rLHzw8HsalTKepe2m8X" + "jbnXT4hjRXzWYyq9PYxdAETeAwcOpKamhoWFDRs2DGprG41Gm80G1Mr3Og+g+ldBQcHq1avPnTtn" + "s9lUKlVISAhoGg/UJOc9bFh7vbr6+noIGnf+KV0/y2H76vS3uHqGb1AdBx/PDu3058QfPmjLexw9" + "a1HqDdLg7gHqUw4ePHj27Nl8Pt9kMp07d+7w4cP5+fkFBQVBQUEQSY4giNlszsjI0Gg0EydOlMlk" + "NptNp9NRqVRXV9fr168XFhbGxcVBTiyCICQSqaKiQqPRDBs2bN++fZmZmUVFRSKRqLy8/MyZM6Gh" + "oeHh4QiCcLlcIj+fkwA/bY8PBQ6Ymps3b+p0ut57Cg5IFuvU4dzn2+yDZtn0yBNRFK2urhYIBC4u" + "LjU1Nf7+/gkJCXiZFZPJVF1dbbPZ3N3dzWazzWbz8/ODJP/T/4+9946PozrXx2e296rd1a56tQqS" + "ZVmWZFu2bAzIIZRgarDBtHtpF2JISPgSahIg5F5qEkIcSLMx1UAcwNQIMMJd7pJlW5Jl9e2978zv" + "j/e35zPsrlYraVdaSfP8oc9q6jlnTnvb8375ZWNj40UXXQTuNrADgSAdALSt1+sF9bRUKq2uruZw" + "ODU1NTqdTiQSEQShVqshK9g111yzbNkyJpNpNBrffPNNsVj89NNPg6fYqlWrbr755v/93//t6elB" + "4RJFRUUvvPBCQ0MD8tKfznaLCZVKBdaddBZl6cjbeQJE7jjjoLLAplsA1ySQWjF7OrV044ooY12A" + "FKtj7VZFIhEw+iLrd/TTTp8+bbfb4TiLxRIKhf39/QaDIRAIoOBkCMzGvt+HkoJJzNF42PCOyIpn" + "FlCFrq4uoVAolUrB72umCzXzmANTzIQwODhIzXs8IcCY2rt3r9Fo1Ov1W7ZsYTKZXC73wIEDLpfr" + "3XffLSgouPDCCyOyr8PYDAQCu3bteuKJJw4ePIhhWH19fUNDAzXLXYIAtnyqD0uci1lEZGMAACAA" + "SURBVGG6UKvVGo2Gy+W6XC6M4oaQyOum3jHiS6fjnp3i22nEAYx9oVCoUqk2btx49dVXYxiG4/gl" + "l1zC4/H+8Y9/HDhwYPXq1TqdDo53dna2trYajcavvvrKYrGwWCxYbk6dOmU2m3fs2FFbW1tTU4Oe" + "Dz2tsrKyubl569atnZ2d9fX1x48ft1gsF198cXZ2NpCNY9OiKZ4QYIAUFhZKpdLh4eHpFzXnM2DU" + "o2AcsVh8/fXXQ1gmfAg+n5+Tk2M0Gv/4xz/W1tYymcxAIGAwGJ599tlt27a98sor4IgR5xXU3RHw" + "oS5fvlwkEqHjRqMxFAqZTCYwBZ86dcpisTQ0NJjN5l27dpEkKRQKgSANIoCge9x777319fXg75Mm" + "c5fL5aJqGdIQJEkODQ3pdDpa0p7DgC+bJspln89nNBpBA4WFHULTQUiZNFIrZisUCqFQOA2KOjxM" + "wTrWBBrh/kfVZeJhp8qIDwnHmUxmaWlpbm7uiRMnqLGa6EUgM4+MjACpGIZhGRkZl1122e9///sv" + "v/wyLy9PqVSCALl7926v15sK/TeS/xMM7KTWApJjp6hIE4Lf7z/vvPPcbjc6AolnptJ/0llJnAiA" + "XjhNVO/TAEjmPOnK4jheXl5eWFg4OjrqcDhCoZDb7QYagq6uru3btxcXF1Np5EiShARa33333eOP" + "P97e3k6SZHl5+UMPPQRRlxN6O3CB+P1+mPei6dmiSwu7UoVCweFwXC6X3+8HV9gIXcBYIEnS5/NB" + "7twJFZVGBEKhEDVIMh2GG5TBarUSBIGs0EAFsnz58k8++aSrq2tkZESr1cKpnTt39vb2SiSSwcFB" + "o9EIuRjhOSKRqK2tbe/evWVlZSwWK8KEeMEFF3z00UeHDx8+//zzP/roI7/fX1xcLBAIQOGF3LLS" + "B9AyIFxhYUoFUG/NdNHmC9AAgfQZaAcC/TMrK2twcDAzMxN2UCwWKy8v7/777//000/b29tNJhM1" + "Cx0V0NOo3xHoXcBEATFZKDCqv7/f7/dzuVy46/PPP9+7dy9MqiwWC66khr6LxWIgnEuffnLw4EGb" + "zZaTk5POeiKNRpO6ABAacwZjbbapkU3xexFcMDIycvDgwdWrV8NQHR4e5vP5KOVkqkqfSqRWzEaR" + "likFNL1UKi0qKsrJyYEdCfV7qNXqNWvWQAgil8tVKBR5eXkikQj2wUKhsLy8HJzr8DBZl1AozM7O" + "zs3N5fF4LpdLIpHodLqamhroB1KpNC8vD2J64frGxsbW1lahUAhd4cYbb/T5fDt37nz55ZczMjJw" + "HO/o6BgrV1NSAKFNxcXFIpEIkb0lgjQRRIPBoF6vF4vF1PXGaDQODQ0FAgFwjJxEOWHVT59lNXFA" + "O/T29hIEkZeXl6DcNUuBPjokt5+Qu0dEr7jzzjsvvvjiQCAgFosJgjCZTE8//fQnn3xy1VVXbdq0" + "qaSkJELGDgQCfX19zz777OHDhwmCKCwsvP/++1esWIE0dwmWH8dxj8fz9NNPHz169Ic//GFLSwtM" + "MowwoktLhgmWurq6IDkTQRA+nw9EoETeHgwGDQaDVCpNIr/A/AH0BPgKdrsdaM/SrRn9fj+V3wE0" + "bkB5aDAYYKrHcdxutx89ejQUCt18881XXnmlTCZzu91gx+NyuW+//fY///nPkydP9vb2qtXq/v5+" + "q9WKXpGVlaVSqb799tvc3Nyurq6ioiLI/gXr4+DgoNVqBZt5WgF5+rhcroGBAbvdTuV4S/V7PR4P" + "GFrTrcNMMyIaHCY6MCMHg0Gk9A8GgzqdLi8v7/Dhw9RgE/L7AA5XtVqNbKfwY//+/U1NTSivJzCk" + "1tbWQmwCXAlTt0KhcDqd2dnZwWBwdHS0ubmZx+Ol7QYg/SnQcByf23sPGghTnMrGStGCtnPjklLh" + "YUYYnU6Hpg6j0Yg8tqZSvBlEasVsk8nkdrtT3TrwCa+88sof/OAHIDyjU/DqRx99FMRpDMMqKir+" + "3//7f7m5uXK5HG5ks9m/+c1vmEwmdTpetWpVXV0duIvzeLzf/e53Ho8HaHjz8vLuuuuu2tpaFLKF" + "43hFRcXDDz9cVlYGt7PZ7Jtvvvm8885rb28HrvJ77733gw8+ePvtt1OU5gSe2dPT43Q6J3RjmvRd" + "8O81m81erxfRjCuVyuzs7Gi9SeLQ6/USiSSaKQpeMT1W4snt/OAuo9HY09PD5/MRT9XcQzAYRLJE" + "V1fXuXPnli1bluC9DAYDUtqgFuZyuaWlpfAbNBRVVVVdXV3r1q0rLS1Fl4GM7ff79+7d+4c//GHv" + "3r2QYu2hhx5at24dbCwm+tUEAkF+fv7nn3/+zDPPvPPOO1dcccXKlSuzs7NVKhVMPogwiarc9Xq9" + "fr+fJEkmk+n1evv6+iDlTCJvZ7PZkNIpTUbx7IJAIIC8QaFQiMvlJsjjMs16SUht6HQ6ofNgGIbj" + "eE1NjVar7erqguNAG97b29vY2Lhhw4bKykq0mwGRhsvl7t+//6OPPlq+fHlpaalEIlEoFHBNKBTK" + "yspqaWl57rnnnnrqKQaDce+99+bk5GAYBsJ8b2/v6OhoRJqDmQV8gpKSEqlUajAYpk3WBTkQ4o27" + "u7sHBwfTp01mCjG31AwGg8PhgMYQMTLu3r27q6tLqVQKBALozIhEDdSdbDb74MGDfr8fHJGoAVMx" + "qXPQPorP5zOZzBUrVlx00UXgPMhgMAYGBgQCAcqjGV3UdEAaFokGjYkCbVaZTKZSqaSuPjiOWywW" + "gUDAYrFsNluc3EYIENaB/l24cOFsHyapFbM1Gg2kNJyGZgKKvJi+N1S/aA6H09zcjFEmOJIkkZYU" + "AaRr9DSpVIoeotPp1q1bh26HH5mZmZmZmcg2cu7cuba2tpqamnvuuQdis51O5+bNm3NycsZyl5o6" + "CIJIhGk8DQGm+AMHDgwNDRUXF7vdbjgydW9YjUYT8QTojSaTCfKZpZojEe0PEv/oUELoNjU1NcXF" + "xdFqgtkL6mwALaPX60+fPu1wODAM4/P5IPmM60QHD1EoFMuWLSssLKTGUaN7ke9JdXW1Wq2mvp0g" + "CLPZvH///ieffPLQoUMCgaC8vPyBBx5Yt24dsMpNaJAi0/emTZsYDMY///nP7u7u3/zmN0qlsqKi" + "4uqrr168eLFEIlEqlWDfBmE75sQ4CfvGbF+Eph/Q+FqttqysbN++fRCqkKBGeNocZKAbQwrJc+fO" + "ORwOWINgwSooKDhw4MC5c+eABHvfvn1Op7OhoQEIn8gwuz78LSkpaWlpeeWVV06ePAlWX1BOof3Q" + "xRdf/PHHH3/55ZfV1dWrVq1SKBRkOB+hQCBIz7BMyMJIEIREIsnLy5PL5ViKk3vhON7Z2dnf3+/z" + "+TQajUKhSNG7Zi+QM+Dw8PDOnTt9Ph9oyR0Oxy9/+Uu/33/bbbdpNBrwAC8rK/v888+PHDmSm5vL" + "4XBGRkY+++wzr9cbsY3Bw6Aeob60oqIiLy9v9+7dZ86cEQqFQOzy3HPP7dy5c9u2bVQ+gmnGuFtf" + "MLqks8c4DRrjAjp5RkYG9v1ESwC0AZ6obELOwlw5MZFaMfvMmTNGo3HaNM3YGKts9GQXMWXHnA2p" + "EUeJS0pwZWdn54svvlhfX79hwwa1Wk0QxAcffLBv376FCxemSQqctAKMpfLycqFQ2N3dbbVak7WL" + "jZCi/X4/qMogn9k09EwwzkOmkwRvQZnGfT6f1+udhgTOyQJBEOAoGOfzRSeQczqd4OCK47jf708k" + "9ztCbm7ub37zG6pXNnXLAgdvuummG264QSaTUQ0jFovlz3/+89/+9rfBwUGNRnPFFVfcdNNNEDxC" + "NQNOCCRJQubq6urqhx9++PDhw5DAr729ncfjqdXqSy+9dPXq1cXFxUDvAasOj8cDXS/Yc8DRJvGe" + "OQcWoZlCIBBwuVzwFYxGo8ViSSR4zGAwJDcLYJx34TheV1fX29tbX18PMU1YeH6oq6vzeDw1NTUc" + "DocgCIVCceWVV65duxZEcbRyYeGevG7dOqvVWlpaChMRKIBgGiRJMj8///LLL9fr9ZdeemlFRQUU" + "gMFglJSULF26tLi4OKU1nSigXvv37x8ZGZnmV8vlcsgFBe4PaRJylT4Aa7ZIJOLz+c8999xLL70E" + "x1ksFkmS69evv+OOO1AXveGGGz788MMnnniir69Po9F8+umnx48fp+67sPDeIELRk5GRAUoieCOP" + "x3v00UfvvvvuX//61xs2bMjLyztx4sR7771XX18PrE4pciEcFzClx+khCoViKgksadBIH0RvcZH4" + "DT80Gk2C/RwRK6Qi8/H0I7VidoSrT0oR52PEkbHj3DuW9jSmfoVq3MZxfOXKlatXr96xY0dbW5tK" + "pfJ4PEajMTs7+/bbby8rK5sbGpokAloDTP0mkylCk52st5AkOTo6KpVKwfl/6k/DcRwEwphLOFwA" + "HtEJxpbDNWazmcvlikSi7u7uQ4cOLV26tKCgIG2jy6gAikiFQjFWVtJQKDQ0NCSTyaifgHplKBTy" + "+/0oazq11tEB24jeBjzAI96FcpgjXwC4HjIPb968+dVXX+3v7y8pKbnzzjuvu+46hUIBagJUHrSN" + "S7wFoJCrV69esmTJmTNnbDYbg8GwWq0kSY6MjHR0dGzevLm5uXn9+vXnnXeeSCQSiURqtbq4uPjA" + "gQMWi0UoFGo0GnB0pKeI1AH5HJ08edLpdDIYDJ1Ol6ACNCMjI5Fgk6kLYND9LrzwwtWrV1M363D8" + "uuuuu+aaa5Cw8eMf/xgbI7UHHMnLy3vkkUfQBXfccYfL5crJyQFjGo7j11xzTWlpaU5OTkZGBjyT" + "y+U++eSTeLpSMEKiPizZudzGArwlLy9Pq9Xy+XyJRAL0rhg9VCmArrJu3TqTyXTq1CkI1wwGg8uW" + "LVu8ePHy5cuRtgjDsIKCgqeeeupPf/rTm2++GQgE1Gr1Qw899N1332VmZnI4HOiEMpksOzu7rq4O" + "xFHowGvXrt29ezfSnGIYtnr16ttuu23Lli2PPPII8IaWl5c/8cQTQGmel5eXlZUFq9J0fqy+vr7c" + "3Nw4WnKr1QqlpfU1NGggGI1GiUQSCAR4PN4cSMqdWjFbKBROmrxqlgJmTIlE8sQTTyxduvTbb791" + "Op1sNrupqWn58uVarXZuqGdSBBzHRSIR4vFPrhIax/GsrKxkNT4IVHa7ncPhxMw6DkdAlErwpXAZ" + "m82GzUpWVhafz1epVLOlw/B4PGjhsQpMEITT6YxOqYV+CwQCs9l86NChCPUc7LoQeTKGYVKpFJJa" + "xwSO40Kh0O/3Qz5qpVIJx4PBoMvl+vDDD7ds2dLf3y8Sia677rqWlhaSJI1GI6JfBuIooKtFcePo" + "FMg8iEEgJlgsFiwPVEkAeP62b9/e2tpaVla2cOHClStXcjiczs5Or9fLZDKByGp0dHS+RVyHQiFI" + "ljudlOlULbBCoYD4Jmw8jW2CriXw8KlPXxGBaggRmw+wrI77KDQ28/PzqUxUGIbJZLLm5uaIxRox" + "nKchZkRbTfv3xgd8kZKSkqeffhpNm0A8EZ3jkCTJNWvWNDQ09PX1+Xy+nJwcpVJ59dVXwzYArq+q" + "qtq6datOpwMCC4joqampee2114BjH7lsbNq0ad26dXa7va2tLSsrq6GhASUo+sEPflBSUpKVlTXN" + "4Q/AHRhnxojg/KdBY+4hpkFlLMACtG3btgsuuGBoaKiyslKr1c52w2RqxeyamhqdTjevxGwsLGkL" + "hcJ169ZddtllcBDx5s2rppgQQAEBYbTHjx8HT8jkslwmS2gPhUJms1kkEn355ZdZWVmLFi1K4vqN" + "9P0ymQxo9iKQtgNq3NmQzWaDS2r0ZbB/HRgYePTRRyNECJIk+Xw+l8t1Op3gWw7cZnl5ecCvE/E0" + "kITLy8tHRkaMRiOGYVdddRXIPG63e9++ff/5z3/OnTsHN7a2th49elStVnu9XkQfGAwGc3JygK4Z" + "cvWhh4dCIblczmKxrFYrFCa6IgwGo7Oz0+l0UgU5qghnMpna2tr27Nnz1ltvCQQCm83m8XgwDONw" + "ODqdLkFvCxQBMe6V6Q+r1Xru3Dmn0wmuB9PTvWO2XrL8XGw2G4vFAqKBKT4tZqkijo87J0SMTeiZ" + "0QNnFm1oZqScqH1mSyvNCKAjUXOLxtQ6wUwuFovPO+88OAL/Ui/DcbygoADHcavVyuPxIEG3UCgE" + "UknqZQwGIz8/H8fxqqoq+Eyoh3O53MrKyhRWeAwsXrx4Vrih0aCRJoABW1ZWJpfLfT4f2Khm+2Sb" + "WjFbr9c7nc7Z3kaTAB4O547OxT1DJZodIEnS6XSCyIHjuNlsdrlcM12oeCgvL5dIJHGcKqfyxcfa" + "YY+VOGG2IE6xCYJwuVzUrQlJkhwOx+v1BoNBqinpxIkTJ0+eBCfwmKLmv//9bwzDwCj94Ycfgsc4" + "FnYCB4pyt9u9e/dutBuDp4G6B6fwSEX4jcPrYnqqU4sd0/CFxBskb5tMJnRKIBBkZ2ePK2rCEwwG" + "A4/HQxkTZjWEQqFSqZwE+dxUIJPJFAoFm82mOiakmw4rwYllomWOuXeZXR1plmZqnA+I7l1j9U/4" + "gmhWjMMHRqVTibkywowNc3L0BTMyrtNqJqFBY7agpaUFokhm7y6XipRToEG+jZS+JT1B67wnCjKc" + "FcDr9cKRUCiUnlklmUwm8DqkIs9NtI8NCktGwdsMBmMaaNJTgXHN3cXFxQqFAl0WCoXgX5vNBuRP" + "6GIGg+HxeIACJ3p/Bm21cOHCvLy8l156yW63A5k5m82WSCRgFaFez+Vyg8FgMBhkMBgSicTr9VKN" + "2OMCvNPBQOr1eu12O3g5xqw7IpgFZiDkWI6NYWaMAJyd7ckkqWCz2cADNz3VgR25SCRSqVQ8Hs/t" + "dif34RiGUQNHaSQXMEDy8/PFYvHo6OhMF4fGVEHdLEWPmugFMY7uKRX67kmDngFo0JgoYHofHBwU" + "CARAFDLbx1HSxOyxTDfJej6NeQIQTmjfPCq8Xi81kzMkkqG65M1qoA8Nks/Pf/7z6667LkE7lc1m" + "C4VCYrF4LI0Dk8kkCEKr1b744ouHDx8mCEKj0WzYsKGuro5KTg75Hp1Op8vlgvTXIyMjVqs1kTKA" + "vf3o0aPAEy6VSj/55JN33nlneHg4oiRIkAaREpQ1brdbr9cHAgFkZk+wz8+ZoYHjuMViOXv2LMpZ" + "leqqRVOgpfR1NFIBRIFGYw7A7XbzeLw4ITBzZrqbLUg3vx4a8wo+nw/IcebA6py0nTpBEARBQLsk" + "65k05jCi3bpAtK6qqoIUx2nO5j89WgBY6rq6uhYtWoQOzkYjdhywWCwOh8NkMoPBIJ/PF4vFiGZ2" + "XIzLDh0IBM6ePdvf328ymQiC0Ol099xzz8aNGyHHI9U5HP2A3wsWLMDG/r4kSUJmMnTB2rVr4YfR" + "aPzkk0/AKk69hcPhCIVCNpstFAqrqqoKCgrkcvkll1yyc+fOl19+2eFw+Hw+ahnmD4AzMjs7G+It" + "p23Iw5qVogZP24lrziAzMzO5zB00ZgSwxun1ekiyQA+cdEAoFAqFQvGzkdGgkQpAl4u/AZtdSIKY" + "DbOkxWIJBAIKhWIO6B5oTAOQXgYNJNjvmkwml8sFnUqhUEQwncw34GFa4PiXBQIB8ECedaMPx/HM" + "zMzMzEw+n2+320tLS7OyshJxnAbEoYaG7FwdHR2PPfbYF1984ff7tVrtT37yk5tuukkul8PzoynK" + "Ip4cRwazWCw8Hk8oFFIVLkaj8dlnn3377bch9ztJkmKxGBIuFBQUrFixIjc3d9GiRcXFxaArYTKZ" + "BoMhIyMDeLYTb7c5Bg6HIxaLpzkQa9x3+f1+DMPovWZ6YmhoKM2ZO2gkAhhceXl5s27xmpOAldHh" + "cDgcjszMzLkRHEuDxgwiCWI2cmQFJklqRGKcUBka8xYwj9vt9kAgAAHG1E5y5swZEFEIgpBKpZBD" + "eJ5DLpePdQoa0+VyeTweuVweHXKc5iBJ0mazmUwmr9cLFQGjboK3x7E2kyTZ2dn5yCOPfPPNNx6P" + "B2TsjRs3QqT3uK8Y9wI2m81ms6lejjab7aWXXnrzzTdtNptIJOJyuVKp9IILLmhpacnKygLqcvRq" + "giDA5TUrK0soFFosllAoJJFIPB5PIBBI50RK8wFId4zjuFwun2P+I7MdMIIMBgOQZU7/22fXHDsr" + "QDdpmgA+hEQiAcp3+rvQmBHMpY6XNKdxMN3gOG4wGBwOB+wgZTIZn8+nYzymB7PF3RQ6A5/P5/F4" + "VF0pbF9qa2u1Wm1HRwdBEN3d3QaDYUYLmxaIM4LguFQqRWnAZh3sdjvyX+DxeJABeCp1AbNwf3//" + "r371q2+++cbtdmdnZ997770bN25UKpXJ2iVTOa6Am2337t1tbW1yubysrKympqa2tra+vp6arBXZ" + "q3EcpyYxRqfsdvvZs2dtNhvyaZ96OWlMAtDyEL1Cf4V0A8wPBQUFEolk2ijQoBswGAwOhzNneDHS" + "B/QoSyvQngU0aCQLyVwtQMz79NNPu7u7MQxjMBjV1dXzMG/2TMHpdPp8PvgKkMconVsekvdEw+/3" + "I2lkNnpBpwKJmF5ni5IlGiwWC7atJEn6/f6YyagTB9wOztu7du3y+Xxqtfqxxx677LLLkihjRwAe" + "u2jRokceeUSn0+Xm5rLZbFAhQfQvSlcT8170G4jK03bMJh3p32nnz7eYdXC73ZDacNoAZIcFBQVz" + "I5srDRo0aNBINZKvlHW73Yj/k/Y5mR6AMe3LL7/s7OwMBAIMBqOqqgpiXBNpf7g9KV+KJMlAIDBp" + "8ZgkyXfeeaerqwvDMAaDkZubC6za8xlxwo+pmKUDDSLwMzIyOBxOIBCIcMOeKEKhUDAYtNlsf/7z" + "n//973+7XK4FCxbcf//9N9xwQ9K3xdSnwW+NRqNWq5HhGj7cuAMBXQZO46WlpQm6tdOYIqhCfszW" + "pj9BegLWtaysLJFINM0vDQQCg4ODbrebSutAgwYNGjRoxESSrdk4jhcWFs5z2qrpB7S8QCAAEYXB" + "YAgEgsTjCSEadiphvUgU9Pl8BoNBoVAIBIJJPA3H8TNnzkB+YwzD8vLyEFvV5Ao2WxCnjsAVNyej" + "Q6Hb9PX1mc1mMExNRbFCEITD4Th27Nj777+/fft2h8NRW1t73333rV27dnqkVsSaNpbhOia8Xi8y" + "yjEYjPljzZ5xKSVCUqIdZ2YXqGn8pm3IBINBSAowPa+jQSMpoPIlzWxJaEwD5kYerDmDZIrZMIDP" + "nTvndruT+FgaCSJ+2smYgMm3o6ODy+UWFhaidBrxJdvos5C+iMFgcLlcnU43OS8GKEx2drZAIHA6" + "nRO9fVYjZvYyxPnp8/k0Gs1MlS11gAru3bu3r68PnL0rKiq0Wu3kOo/dbn/77bdfeOGF7u5uDoez" + "bNmyxx57rKGhYdosw+C6n/i74OIDBw4YjUYopEqlSp1ne/oAKu71ej0eD7g+8fl8Lpc7zQUIBoNo" + "90nHp8wiwOiw2+1ABT9TZUiHQZoOZaCRtoCJDtFtwiYNo7vNXIfdbheLxVNxDKSRRCR/Y8Fms+n9" + "yiwCjuMymYxqygbH71AoFNPcBBmDUfg0XDM4ODg4OOj3+xG30yRKAo+Sy+VAswxiZzqsB6n2DyRJ" + "0mq1er3eiON4mCtOIpHMYR9F+OLQo6bScz755JMXX3yxp6eHx+PV1dX98pe/bGxsnOa4FerQSBAg" + "LcAGKDs7W6lUgv9CikqYDoDO3NHR0dPTA3WvrKzMzs5OXRbr6AJgGHby5EmLxQK/CwoKMjIy0mTC" + "mV0IBAIz0l17e3vtdjsWFh6m59sFg0EksdBdhcbkMG2zHCwlgUDA5XK5XC5IGzlt0yyNmYLdbp9m" + "3goacZB8p3EQs+lhPCsAG4XCwkL0G4nNfD5fqVRG+yoHAgGTyQSpttA+w+/3WywWsVgMEvKELOER" + "hYFEXxiFlnnGAeqDlKbPjRgv1Gh5sPKFQqFQKDTHbG5QQchDBkcmNG9QU15D2KRUKlUqleXl5Y8+" + "+mhTU9OEdt4JxsDHuR3HcbPZzOfzxWLxRJ8Db/f5fIFAYJ4Ie6DLwzCMwWAAY9w0F2BwcBASL5Mk" + "qVarJRLJfGj2pMNisXC53GlrPcgb6vF4kClbLpcDncE0vFqv15tMpkAgoFarIbnD9PcZgiAgOJwg" + "CJFIBIkM6K5LIxp2u314eLivr+/IkSMEQWRkZCxcuLCwsFChUNCmzjmMnJwcekJIHyTfafzIkSMW" + "iyWJj6UxbQArk8fjsdlsY83CJEkKBAK0J4aPXlJSAmLGuGMbBMixKJdxHO/u7nY6nWmipoFi9Pb2" + "CoXCzMzMFAVI4zgOrsLoiNfrhbTMWJhMy+l0Op1OhULB4/Hm2ATq8/kQaWIgEABX3kTqSLX6MpnM" + "tWvXlpaWnjlzprKysry8fEKtBHtWbApGKrhrQpwI6NXot9vtnkE/2GkGdbqw2+0ej2dGOjYUw2w2" + "O53OdM6Kl7YUFXw+f5qZI0iSHBgY+PLLL0dGRhgMRk5OjkqlirOyJAUQ13PmzBmj0YhhWElJCeI7" + "nDaQJMlkMi0Wy8GDB10uF0EQCxYsKCoqmj+EDrMdOI77/f5AIADTPofDYTKZSd/twEbObDb/7W9/" + "+8tf/hIIBAQCQTAYBGv2xo0bf/KTnyiVyrmksqdBI22RfAMCTBzwG3m6JjKPJH2dSNt9SVIQXTsU" + "6DhRUOOxPR7PuXPngDM5ehYmSdJms4EGPbowY7U2lX7DZDLx+XxIiDJuwdJB2MZxXCwWc7ncaVuT" + "CII4efKkTqeDeGyXy+Xz+eRyOeSUnktdGrpNT0+P0+lksVjBYLCzs1Ov11NTUse51+12g6c9eHKy" + "WKzS0tKampqJEiMRBAHMRkwmc4oRTWKxOObzsbgMW2gsC4VCLpc7tycuBLQ0EATR0dHR399fV1dH" + "rXgSMyDELwCGYRHuOekGCNVhMplpuDOO2eenAWh3MZ1fbUZeGoFAIODxeGBWvNwr7wAAIABJREFU" + "gYUJSpW2vZcGADQ1p06d6uvrA5e98vJysVicdMIqgiCcTudLL73097//PSsr64YbbrjqqqtsNltX" + "V9fzzz//2muvNTU1nX/++bCdiO45ET08YhtGdXuknoqeqyPOxizqjI+mOQm6PdMKyXcaLysrk0gk" + "YNCGLVQwGExkt4ToGZJVGCT7RY/k+GM75rSSPkC0FqhV4XdnZ6fNZpv0Y/FwJPCCBQuwsSleOBxO" + "TPE7Tiu53W4cxwUCAdwexzuUJEmhUBihpoHaTbxCyQFJkoiUK9UR2uh3bm4uNBeGYQwGA3zFQbWR" + "DqqHZAG+LMQzgyXKYrEgP944wxPHcbvd/v7773d2dmIYZrFYvF6vRCLRarV1dXWVlZUZGRkJUmqR" + "JOn1eo8dO/bJJ59oNJpLLrkkKysLm0KUePSNo6OjbDZbLpdHCPAwNYFlAyzzAwMDJpMJxlf6fOix" + "Nk9xmoh6TXRFqN7+8IPH40Vo7jAMg7Dt6VEtoZWCurkct4LTNi/5/X6z2SyRSCaXwSGlmJGNMpfL" + "ZbPZc5ixIj7SrQ/QSATQV9va2ux2O5vNDoVCWq0WeEmSuPUlCILJZH7wwQd//etflyxZ8txzz+Xl" + "5TEYDJVKVVxcLJfL77777q+//rqurk6hUJAkCRrqCP0mQRDoYARXCBwHWjVq3sqIiRotahiFPSGi" + "KTCKDpru0jTmKpLvNN7d3Y0SMnk8HofDAazR484jXC53KjmlIgDGLjabDfl4YdZA21zg9xrL1Qrc" + "ViEGDMMwdBnYitGuIo7VK+bOIynbEZgWmUym3+9nMpkgsoKtA5jMsLD0MrnnR2w3I8qM43hMv0qL" + "xSIUCvl8fnRpcRz3er3gao5h2LhumcCXHjG/J7iXQsWGLxXfLBmxpaY6AkxOqI5zF8iQsCYxmcwI" + "Ajks3MdgZWIwGHK5HAuvQDwej8/nI0KLiE+TIqCWQS5tUHiq4wMa0TiORxDmQcujJh2rwNHbi0T0" + "cXDX4cOH//jHPw4MDGRmZvJ4PHid2Wx+8cUXr7jiittuu62iomJcmQRGytdff/34448bDIaLLrqo" + "ubl5XLvQuEo6aisRBPH888+r1eoNGzaAlyk6y2Aw/H4/GPPhXpFIxOVyQfBO3bZjov0nQi+Gemni" + "YjbQyKMhAAnqUHQAg8GoqqrKyclBj4Xbu7u7hUJhVlbWVHySx21J6IGnT5/W6/USiQTVK1li9kS/" + "Y4QJCIrBYrEkEgm0Q8SHi9B6TG7WmiJi2nipR8ZSzUSXNmL1iS4eGaaAAUPu5By4Jo1EJqhUg6pc" + "QJPzjJeKxriA+e3YsWN+vx8Wd41GE59vZRILASzHO3bsYDAYDz74YG5uLnSYYDAYDAYbGxsffvhh" + "nU4HHoUOh8NsNut0OqqW0263W63WrKwsOGixWGw2m1QqdTgcbrc7OztbJBI5nc5Tp06dPXsW+l5B" + "QUFpaalYLIa6QO18Ph9EhPH5fAh2o9YU0bNhGDb3QuFo0EBIvjW7qqpKJpOZTCYMw/bt2/fXv/5V" + "q9WOuwyEQqEFCxYUFxfDjnkqgLnM5XK1t7dnZWXl5uYODw+7XK6srCzkn2Oz2Xw+n1QqjWnyMhqN" + "Ho/H6/XK5fJgMAj+hBiGSSQSDMOYTCaTyQwEAk6nc6w1HlhJqBSsKCPuJLiIqfB4PHa7XSKR9PT0" + "qFQqtVqNYZjL5WIymfv27ZuKNZsK9LHcbjeTyRxX/THWWTieeCZkr9c7MDAAEUQYhvX29h45csRu" + "t+MJBN3BZhTEUYFAAFbKOE3NYrGQdC0QCJBbOPhfwFdOsNgAEBtiOnZCT4A+4PV6kZ4YXezxeKCp" + "+Xx+IBBAgg1IXKFQCBzIQTCDsyi+KxWAuoRCIbfbDcXm8/ksFgspd6BtYfEGHwSqMCYUCkmS9Hg8" + "IFPxeDwGgwErbsRCi2GYxWKZBCsmcMKtXbv25z//eV5eHmx/9+zZ8/jjj+/cuVOr1apUqpycHPiI" + "Y1kpoTA7duwwGo1PPfXUunXrYNND3chGSA5UiYK650b7XTAmoOOBQKCjo2P37t1Lly5VqVTY9w28" + "BEH4fD6wDJAk2d/ff/r06fLy8pSGmELfHiuVQDSo4xdmML/fz+Vyx4oqRGqXUCjEZrP9fr/H42Gx" + "WBwOBwW2hEKhY8eOWa1WKBIMEGpT4ziuVqvZbPZUfPhjin/RpcUwbGho6OzZs2q1WiAQQOOjJIVx" + "KphI2aJfjcey8FPLHPNeMN4CQx71SmpHhSdPaFpIlpgd81Fx1LXUQkZXIeL2iGeyWCy73e5wOFKq" + "jYoAmrHTQR5AZQgGgzCvQlOgv3AW9U+kJEX9GTRfWHgORP/Ckeh/qa9G+rJENDtj9Xb0nKnMdej2" + "cVW6kwPoxCOWj0k/DQoJD4TnaDSaaC8eKvx+P2wmE3wFNMi5c+cOHTpUVVWl0+mQXdrv9xuNRq1W" + "u27dOjzsDtna2vraa6+98MILwIMLt2/ZsuXDDz/805/+VFBQwGAwtm7d+t577y1fvvzQoUODg4NP" + "Pvlkc3Pz3//+97/85S8ejwe2NBwO58EHH7z22muFQiGGYV6vt7+/f//+/T09PYFAIDc3t6mpKT8/" + "H8RpgiC6u7th/ty9ezdJkpdeemk682LQoDEVJD82m+quefbs2c2bN8Nv6mwbU8MtFAqTqNMKhUIu" + "lwss5H6/PxQKUcNr41uzkWwDkhhSN9bX12MYBnzaNpvt6NGjDocj5j5ArVZzOByDwQCERiBpgKnE" + "arVOheUIhEAWi+Xz+RA9r9/vz8rK8vv9EKoKmv4IR6CJggwnbQZ/TuoOKfrixIlex7oMJv39+/cf" + "P34c9k8Yhn399deHDx9OhIIYPpNUKrXb7SRJFhUVBYPBwcFBn8831vVyudzv9wNla2lpKThWgXIE" + "qNR5PF6C2zh4O3xZqVTKZrORzABGS/CF5vF4crm8u7tbIpFACK5EIgFJ+9SpUz09PUKhMC8vz2Kx" + "yGQyWJIh4ZDL5br++usJguBwOMPDwxkZGUwmc2hoCCjQxy3ehIDqQhCE1Wrt7u7mcrlCobCwsFAs" + "FhsMBpFIJJFIzp0753a7Q6EQKJvKysqkUikRTsq1ZMkSj8fT1dUFQ6+wsJDNZp87d87n80VsqkKh" + "0L59+wwGAwjkEokEJoFx6wUfSyqVisVi0M2RJLly5cobbrjhmWeegVMo5QHoTVAFqc+Hz81kMoHY" + "D6YpEPvRFIF2/yAQwvwAow8+HxmOjrHZbCRJgtYG+i3c63A4IJwSdpbgkAK1EIlEqGwej+ett97a" + "u3dv6jYcBEFAijjorom86KqrrkK/2Wz24ODg6OhoQUGBRCKJqcZiMplGozEUCvl8PqVSOTQ01NnZ" + "qVKpNBrN6dOnLRYL6Fz27dsHxhDQLwCzFABKBRm2plJZt9vtdruBWyHOzEMQBCxVx48fLykpgY3v" + "yMgIj8eTSqUxKwh003K5HKTfOGUoLy+POAJKB2Si9/l8TqcTeAHsdrvBYEBuUxAuLhAI5HK50Wi0" + "Wq08Hi8jI4PD4fj9flhHrFarz+fDcVwikUilUphqEhQGcBzXarUx65ggcBy32Wx6vd7v9yuVSkhO" + "QZKkw+EwmUxut5vH48lkMpvNBrXWarUSiSQYDI6OjkI0GfSTUCjE4XCUSiWfz9fr9TiOZ2RkmEym" + "7OzsmC8dGRmhLqPTtkEHEsqJ6jKSDjSLAhVcQ0OD2+1WKpU8Hi8QCBgMBpVKxWKxNBqNx+Nhs9kO" + "h8Pv98O6A0YIp9MpEolAiuNwOKDwggkNrgcXKr/fL5fLbTYblWxSLpd7vV6n0ykWiz0eT3wlqcPh" + "iKZiwXHcbrfLZDKYulGEVCKAaRk6eTAYhHtRCTkcDmhgJ9CaY0Oj0TidTpfLBa7d4EU4lU9vsViG" + "hobQ9uD06dNer5fP54+laxgcHMzKykowAAoLKxb/85//uN1ujUZDTa8rEAhyc3NByiXDHklGo7Gz" + "sxO5U6F919GjRz0eDxy0Wq2dnZ3Hjx9vaGi4+OKLS0tLT5069eKLL+p0uldffTUnJ2f37t2PP/74" + "hx9+eNFFFwkEAp/P9/HHH//61792OBxg37bZbIWFhQ888MDKlSv5fL7H47nlllscDodMJuvv71ep" + "VA0NDVKpdDq1ZjRoTBuS7zSu0Wg0Gk1vby+MUrTTjbBURNzIYDAcDgeiKE+KSpLFYrndbqTvjLZQ" + "jfV8uB42xNQbd+zYgS6IiFeJwMjIyNTLPxZgxx9hc0N2IWBeXb58uUajSURcifMWDMPgIVMv8LjX" + "wAz77bffjo6OgpWMIAi/36/X6yMeEq1aRl+zv78fjg8MDGDjtf/IyAi6YHR0tK2tDZ1KXMVOvRL5" + "hMe8LKKmERegT3nmzJmI4/Djt7/9bcTt1NoR45FsJVL+6AJTzUpHjx6lWjmopzAMO3nyJBovDAZj" + "27Zt1FrHt7PBZUwmUyKRLFu2DLYC45YcrvF4PCjHO2yDoEZUnRpJkqOjo5BJEgLdMzMzIf+QzWY7" + "fPjw0NCQw+Ho7u4eHh6G7SkSVMxmM0EQOp1OIBAQBGE0Gvfu3dvf3+/3+0tKSmpqasDiSpKkxWI5" + "dOjQRx995Pf7y8vL6+vrgaUCNVEwGBweHrZarUwmMyMjQyaTQTxbaWkp+OMRBOH1enft2kXtiikC" + "/n2LOtXTLwLoa1JvRKqKsexU1JmWDNMrRPQBagxFcXGxVqtN4jYLaQn1ej30h+iHUx3U+/v7h4aG" + "Pvroo0QC4/Hv833Ev7ixsZF6I0EQdrudx+OhAB+XywWZHTQajdFoHB4eBm0pFmYXE4lEGo1maGjI" + "aDQKhUKVSsXlcn0+n9frBb0t7JIVCoVcLjeZTLAWxGyTiFowGIy8vDyVSsWYVA5OUMmNjo6Cv1hm" + "ZmZ2djaHwwkGg2azWa/Xu1wu0BFYrVaHw8Fms+F1Ho+nv78/EAjApAHOpXw+X6VS8fl8WD21Wq3B" + "YCguLo5+KZPJ9Hg8PT09GIYxGAzghpxo4SdRWRzHzWYzKDVmUB5gMpkikYjNZgcCgdHR0U2bNuXl" + "5YFYJZFIXC7X0NBQfn4+k8m8/vrre3p6lErlgQMHhoeHYXblcDgdHR3t7e2LFy8eGRnJyMjQ6XSt" + "ra04jisUCi6Xm5WVtWfPnoKCAoFAMDAwsHjx4m+++QYSAYRCIbFY3NLS0tPTc+TIkfr6+pMnT47l" + "QwfXm81mhUJBXWWg2wwODlZWVoLXdFlZWeLVB0XhiRMnAoGA3W6HHvLtt9+CSjc7O9tqtbrd7ik2" + "MmDDhg1Hjhw5cuRIVlYWKOyGh4enIsN3dXWZzWZQTLDZ7Pr6elCzjtWdIty5xwVM5pCrpampCZY5" + "eDh6BXWKA4MNqPaoKyb1meXl5Ww2e82aNc8884xKpeLxeG+88YbP59NqtWq1WqlUXnbZZT6fz263" + "A7FOa2vrww8/7PV6X3zxRZCrDxw4cPfdd993331vv/12ZWVlKBSy2+2dnZ01NTUPPvhgdXV1bm4u" + "No3KMhozi2iRcG4jmWI2LNXl5eU//elPn3766aNHjwaDQVCv+v1+Ho8HwSHgPUiSJLKlgOUH9g3w" + "AaJjaye3A2MwGEgpCzs8Pp8P+xswcEGQDOingXEHLLdkOK4S7E5QNaPRSI7N9zCJ4oFNDMdx8Lyd" + "0L34953rsPAuWaVSbdy48cILL4yOlE4dkiWKi8ViPp/P5/OBXQMaH07ByhS9UcbC9ijkPAa/QaBK" + "5LuQlGROcCRBqRXkOrgSRDgs7AdOlVio/1J39tH9h7p+R4jrVPsq9GTkcA6iLxn2CaSKu9T3YuE1" + "mHo25vaUepzqMscI07BhYX8QaGF0JKK+qITQvcfySoAbJRLJ6tWrzz//fDB9xBkOUBgej8disQ4d" + "OtTT0wOhE4FAQK/X79y5E2J9kYh79uzZRx999Pjx44FAAFydb7nllquvvlqpVLa1tf3ud78DB4rn" + "n3/e7XbfeuutAoFg27ZtEPby1Vdf8fn8hx56aOnSpadOndq8efNnn30G4gGkELv55psXLFjgcrle" + "ffXVt956C8ySn3zyiUwmu/fee6+88kpoSb/f397evnXr1vb2dhzHL7jggo0bN5aVlTGZzJKSEplM" + "NjQ0BLVOCpt0/A5MlauRUz18dKoCC11GdQmBzsBkMql511B/jtBjwmXQVmiQom4AXQh6LHzNaPXr" + "pFuAqvOFLHHU7gdngc0RD7NssFisUCgEsYLY94dPNFADjjvD7Nq1K8HSdnd3Rxw3m83w99y5c3DE" + "5/PBwWiMjo6Ojo6O+64InDp1qqura6J3RYMkyXPnziFFJxbuD1BgqKDf7+/o6MC+rzMlwxwofr8f" + "BDY4C6vt8PDwWG+E6U4ikSxfvjw/Pz91cRZUQFKDaXhRTECTKpXK//7v//7DH/7Q3d0NzjWnT5/G" + "MGxwcBDJVENDQxiGgUcuHo5x2LNnDxqwBEHAWSwcCYKFmxQLrykwBP7973+jABP4WF9++SWszm1t" + "bUSYBCuikOjfsQYIjuNnzpyBoYdKFaFAR1eis9F7HqrTODI/JKOxMRzH9+zZAzWN0PJP+hVIuA2F" + "Qrm5uYsWLYrvwjm5IEqYS0+fPu3xeKIt4dTCc7ncYDB48uTJ+vp68PeOvmZwcJAgiAsvvBD0cRiG" + "rVixIiMjY9euXR988EF9fT2TybzgggvAE40giN///vcsFuuVV15paWmBqjU1NW3atOmhhx46cOAA" + "8k4XiUQPP/zwRRddFJNVl8YcBtrBznRBpglJdhqHQQUD8q9//evIyEhdXV1vb29/f39lZaXBYBge" + "HlYqlRUVFX6//8SJEwKBIBQKVVdX63S648ePd3R0wC5nYGCAw+FQtexOp3OiSmuQnxsbG4eHh7u7" + "u2G6rKioqKysBJ9btVrd2dnpcrkWLVrk9/tPnjwpk8mKiorA6ZfBYHi9XqPRCG7wJEn+9Kc/Bd8k" + "tVpdVFQE+3iww7NYrEnwt4GXLJ/PBwXn5LaVBEF4PB6XywX2uksvvRTIlibxqGhMm8IJXrR27drO" + "zs6enh6wb7hcLplMBoZEMDmKxWIWiwV+aBiGgfYU4vSUSiWGYT09PWazGYKZi4qKQHgYy+cKlkxg" + "8bXb7RPdP1Ej8O12O/QNiUQCgcpABMDlcsGNymKxsNnsjIwMPp8/ODjI4XAyMjKo3RuiG2C7w2Kx" + "IEYU7TyAUhuAokZh/2Sz2YB0UCKRQGACqJYCgQBIxYgOAJxg3W435Fzl8/kZGRkWi8XpdMpkMqiR" + "w+Hw+XyImsXhcLhcLpFIFAgEwP8TJseBgQEGg6HRaOBL6fV6vV4PHvjgyE2dQ6GcoFaDYF3s+5T1" + "XC533bp1//Vf/1VQUICH/UhR5vCYAHv1yMhIW1sbmL+GhoY++OCDjo6OH/3oRyUlJTCEDQbDI488" + "smvXrssuu+y8887LzMz8+OOP//znPxMEsWHDhvr6+vvvv////u//jh07dsUVV6xfv16j0YyOjv7r" + "X//atWuXRqOpqKhYtWpVVlaW3W5/+umn29rarrnmmptuukmr1b788st/+ctfnE7nL37xi9OnT7/1" + "1lvLli3btGlTTk7O4cOHH3744Z07d9bW1hYUFPB4vL6+vueff37lypV33nnn2bNnP/7448HBwQce" + "eKCurq6mpmbJkiUulyuJGbNBIQJ2/uizOI5nZ2eTJOnxeORyOXx0s9kslUqR9SMQCBiNRuCQGxgY" + "AO0VuJhGfBQIBADiXCysHoInQPp3LpcbCoWQvA2yN4juwWCQzWZLpdLGxsbMzMwUzTYmk4nFYikU" + "ioh1vbq6uqioyGKxIB9OGC9A8YB0fBAfToTj55EeDeISY0771Lhuu92OjiOpMkINN6HqADkCSmIX" + "368q4kaoI0i/MPMgqziE62MYJhQKYe2DuwKBQDRnBFVtBzIbfGIkFUQr+6g/UJECgQDYsaGFkboQ" + "ieXgao5EcYyi2iBJUiKRXH311VdeeSVwRs4H8whU/NZbb62vr7/pppv6+vrAcgvWSFg1YLIFnRGS" + "nGFXgyYE5EwBOy4qByf2/ZaEW5BFFDgaQfJEF8OggB4iFAr9fj8McDSywOUHWXFBs4aYwGDzA7ow" + "ZN6At1M/PZVRAjGJUlVdEWZbVFMqSw4AOqrf7wengGi1OPibQFQgeIyDbAzmGSgVLFVxRh+MF5jx" + "YLRi4ckBx/ElS5YA5UrS+y0Em3R0dLjdbplMFqHpQDNGhF0BQEZFU4pEIoFAUFdXB5Q3GIbl5ORc" + "f/31W7Zs+d3vfgfz5MaNGy+55JIlS5b4fL6enp4rrriirq4OPZzBYCxfvpzP53/xxRctLS1CodDn" + "85WXl5933nm0gJ0g5oYFGHmZwVaZMT8Y5pMfmw2TdU1NzQsvvADWEjJMjo1RtKQYZWzDqIbBT4Y5" + "Zvl8vlqtRuu6zWZDjnYTKgwqAPVdSB9Jhn1NsfBChdZ4LLwrQkd27tz5+eefO53OG2+88Z577kFT" + "JKRnmFxgOdUcNDnAhs/hcIyOjhIEUVRUBD5aJEnCsjcrJjJoh+Li4hdffBEEAIj+UigUwKfV19dn" + "MBgg/GxkZEShUIAoy2azzWaz1WrVarUOh+OOO+7YtWtXMBhct27dfffdBwH/8VXCPp/PZDIZjUZk" + "yEoEBEEIBAIQUQiCeOmllz777DObzfajH/3oxz/+sVwul0gk4D0hEom8Xu/w8DCfz9dqtXw+v7e3" + "l8fjRXdvJGYzmUxqYjMMww4ePAg/QqHQyMiITCYDYd5sNj/11FN79uzx+/0NDQ133XWXRqMBqdjt" + "dsOOGaKCCYIQi8VqtRpkqkAgIJPJtFqtXq83mUxarRZkM5PJ5PP5cnNzYTcPMrxEIvH5fAKBAMkq" + "vb294H0N2/Gvvvrqt7/9LXCoLlu27Pbbb9doNGjfDKqQzs5OLpdbWFh4+vRpkiRLSkrAJxYKlp+f" + "D6oTDMOcTufp06dzcnJAWxQxrGBIGo1Gr9er1+s3b96M9B0QiLhkyRII6SRJsrW19dChQ+eff/49" + "99wDJq+amprbbrtt8+bNCxYsWLNmzWWXXfbGG2+Mjo6uWbMmJycHC3vlCIXCBx54YP369aDde++9" + "9w4ePHj55ZfffffdOp0Ox/H777//2LFjra2ta9eudbvdPp8P5ZOvra297bbbeDxeVlYWspT+8Ic/" + "/MUvfgEujpmZmS+//PL777+fn5+v0+meeeaZs2fPgltm4j1wLJAkyeFwIAQuOvoaPkdBQQGGYSBm" + "83g8u91uMpnkcjnioQkGg3q9HsTsnp4eg8Fgs9nOnDlTVFRUWFiIZi0Gg3Hs2DGBQFBYWGgwGBgM" + "hlwuh41pb2/v/v37i4qKampqhoeHh4eHBQKBVquVy+UDAwMdHR3ghJ+dnb169ery8vIppqqKuQuB" + "f4HgnToNwu+GhoaHH35427ZtTqcT4pPLy8uDwWBubm5vb6/NZgNlU2FhoVQq9Xq9BoPB5XJlZGSA" + "F/SuXbvkcnldXR3YcKirxvDwsFgshu79+uuvo5cCgaVcLrfb7eD4DbESCZrgQBTJyMjIzc3t6elx" + "u91qtRpcZOM/AZZXtVoNEQ0+n+/EiRMgCHV0dAwNDXE4HGAPxnG8srJSKpWeOHECVk+DwSCXy0tL" + "S4eGhhDbuUwmA+OzQqEA31232+1wOHJzc0GckMlkdrsdInsdDkcoFIJGw3Ec1ZfJZPb09PT19RUX" + "F0NFBAKB2+0WiURAmtjd3d3T0wM+bkieAd832Ej88Ic/vPvuu4uLi6mr9pwHTKrV1dUPPPDAd999" + "t3//fpi3ORxOXV0dODYPDQ05nU6gz4C4d7fbDY6EWDhUATY84LQMEwXVOwnHcdB1ooaFXqTRaIBB" + "Fh0ET3Iej2c2m4VCYWNjY29vb29vL9XRSalUBoNBUAcrFAoOh1NdXX348OGenh6kLYJY8VAo5HA4" + "QDlFkqRWq0XJRzweD0puDxJyIh9drVabTCaqwpEkSZ1OB1papVIZcRZ807KzsysqKj7++GPoeEwm" + "0+fzgRIBFE8+n8/v9yNu7Wgg5+2CggKbzeb1ekGXDfwdbDb7hhtuSJzUJkGAnqKpqUkgENhsNlBk" + "RHiDO51OCNTHomI5geJUo9FQVYEQ/R4RgHn//fdfcMEF27dvNxgMBw8efO211/bs2bN582YIM4R4" + "curD4dUQTAqxCfBNox3mx/UPmp8AX+C5QclONSDNJYxVqeSL2Vh4GQDDBREmWIaNCHJ5xcIKTjxM" + "yQCXwROiaWOApHcSQAWAf8kw4F+wOVDLQz2LfV8MVqvV4KVWXl4OJGd4mKpncmVDRUqkw8W5DLi1" + "IL4FXQaBzQqFIg1TrY4FsB1hGMbhcKRSqUajQdUpLS0tLS2Fy/Lz86l3IX2tUCiEJTwYDIJsCSxK" + "8avP4XBAzJtcmWGz0tXV1d7eThDEsmXLVqxYEa0P0mq16Hd0KNq43Xvp0qXoN1WiIEly+/btR48e" + "DQQCtbW1jY2NKpUqfpUzMzOp/4IXAAJkjUaAnUE0ILk6hmFCoZAgiCuuuOLIkSODg4NWq3XlypXN" + "zc0gt1CLsWbNGjiCfkSo2NERkUhUXl5OJd6LFqLAJKJSqX7xi1/U19dLJJIzZ860tbW99dZb7777" + "bm1tLRAWHjp0yGQyicViq9Xa29uLKnXq1CnwSkXPRBsImL7KyspqampA8sdx/Ouvvx4ZGXG73f39" + "/SCNgMnFYDD09fU1NTXpdLp33nknGAwuXbpUrVbX1tZmZmaCviMYDCoUissvv3zBggVgsVm8eLFC" + "oXC73RDMIpPJFi1aNNb3mgZkZGRETGIcDgeNCJVKRXXRjOha9fX1VMUlOrt48eJ169ahKAmqxamu" + "ru7yyy/HoujopgKqi0fEqbGc0xgMRlNTU11dHR72G0emeGR2ppaQKoGQJHnhhRfiYUQ8mdoUyKoD" + "x6EDwKSB1sQJ1RSqiQyJyAKZyI0YxRANd4EwBjo1MH5KJBLwGILGBNVc12SKAAAgAElEQVSVWCwG" + "3wRGODQG1QVkYLDXgUITKoWM/yASg00vor4ej8fj8UCkMWoT5AgAeqLOzs5AIGCxWMA/4rzzzgMf" + "HJlMptFoQCCfUAPOAUDPvO6666699lqz2QyWZBzHgfEBfARAa2w2m51OZ35+/r59+xQKBTB9ut3u" + "1tZWaMNVq1aBZI7jOFqGQLDs7e1F6RIBkJwJdvyo2SGhAEiebDZbJpN5PB5qGlcgoAW9P4ZhIICJ" + "xeKenh7wP8/MzHQ4HBdccAGot55//vl9+/bBzHnLLbdceumlQJZ24MCB6upqkUgUDAYHBgays7NR" + "V4kD4AukHgEVOYZhQJEd7UYUCoWEQqFQKFy/fj1E48vl8vb29oKCgqampoGBAbFYfPLkyYGBgdWr" + "V8dcIsGR7dtvvyUIYsWKFTBAUCOAmA1UphP46omBJMnMzMycnJxjx44dPnxYp9Nh4ZkNlPj/+Mc/" + "jEbj3XffjQxFyOYEvi1Go5Fq9OLz+T6f79ixY9XV1aDycLvdFoulqqqqurqaJMnR0dH77rvviy++" + "OHz48A9+8AMcx0dHR2FpQzMnHo47w3Gcy+Xm5OSADiK6/OAvMA8H9ViA1rNYLMPDw6WlpeCdMSH+" + "+fQBHg4OnemCjAnUV0FBmaAuDzCWXTMlYjYWRbdA/UHdl6CxF3E7dc8NmLTyI+LhMXdF1PLEeQuc" + "zcrKAubkqZct4u3xEcdpBM2S1As4HA5YY2bjaETzL6oU1ZktusFhcwbRicC9t2PHjpUrV+bk5Iwb" + "aEBtvUkANpGgnUXPoZaWuoxBFxqre0frd6gVjFls9Bwej5ednY0CkseqTkyBIeJ1VImIKiBRLyO+" + "T2oFLcBgMIRCYUZGBiLjHUsCiS4ktUHAmE+9CwjkhUIhmvU0Gg144xcXFy9atAjH8eLi4qVLlx47" + "dqyzs9NkMkE7Dw0Nud3u9957b/fu3eAui+P4yMhIKBSyWq1er3csJRSHw0FCF47jQIb8r3/968CB" + "A2ARAv4h8KMrLi6+/PLLt2zZ8vbbb2/ZsoXNZi9YsOCuu+5qaWkBpY9EIhGLxagDRHydKfbASSDi" + "g1L7Q0SpsKjeEl1O6sGIbxp9KqYScyrCNtw+ODgoEokg7VyCWxDoIYimnvpA7PvDNub3QoUf68OR" + "Ye9W6htBmRuxfEygtuFbqF9wQpt1VHi4SyaTgcd1xAVI+wZSBEmS0VRMSFGORXUkMszFQK0sOoKe" + "IBaLwesnZpuIxWIcx5uamiIqjuM46ICmc8ikG1AHoKpooSUh5giOoPAxkH/QlXl5eRhl1o0ZZRah" + "kE0Q4A46Ln09QRALFiwA1TnqQuCFtG3bNpSb89ChQ3fccQcYn6nsmOB5lFKQJLls2TI0Xpqbm0FK" + "BOm0pKSEpDi0xwQoE8eai1LRe2FOk0gkDz744E9+8pPXX389MzOzoqIC1lPILvnCCy/k5ubecsst" + "GIYByTm4J7DZbIFA0Nvbe+jQIY/HMzQ0VFFRwWQygQc0Pz8fnOcZDMa33367devWO++8c/HixSDV" + "L1mypK2tDZZdlUq1a9eujRs3gtIHvBVAqK6srIQVU6lUQohZdJvY7XYg6JmNG9dUANpBJpN5vV5Q" + "VgL//CyVtLH0doAHfSX0WJvNlpmZmYikDQvT2bNnVSoV5Lihnk2VmD1FRE9e6fNJjEYj0CMhTEPZ" + "wJOKz+fHHFrUjQ714IQ4KtMKqC7AwQvOz9FnI4588cUXiAbGbDb39fW5XK5os2rMeyf9EZF0TYbp" + "8fAwxnrFWN07ThliLufU3TYyTyVSneiyxTkb81FUrRlGEagcDgfQDiN+qTjFSATQqsPDwyRJ5uXl" + "ITd7kOqpklsoFJJIJAsXLuzp6UGacijA0qVLc3JyqCo/jUazZs2aiEQycDGHw+HxeJD0m3qWyWQu" + "XLiwoqICp3jrVFZWAvvLrbfe2tLS0tbWdvDgwfb29uPHjz/55JNisbi5uTm6UlSNDBkO85vBKS7m" + "q6N1K+kMr9crFArPnDkjFosTUa4BIrrx9CB6op62V8dERD+PKE98JchYdYnTeRJ5Pvo3QotBFeNn" + "dsikA6J1dqhNIhqNEc5LQm1YdAodSUp7Mr6fAyWRy6jKGofD0d/fj7gDent77XY7pCGYZq0KHlbu" + "Y+HoQmqDk2HEeUKEwi76+Sko9f8/p7W0tNx4442vvfbanXfeecMNNyxZsgQY5jdv3uzxeK688kqF" + "QoFh2MqVK4uLi998882CggIIJ3n22WcNBoPb7e7q6mpqauJwOLt37/Z6vQMDA4idwev1QsaN++67" + "r7S0VK/Xv/HGGxkZGUuXLhUKhWvWrHn77bf379+vUqnA2c3pdL7++uuhUOjiiy+WSqVgG4fgkYjC" + "2+32P/7xj/X19c3NzdR0ITQ4HE5OTg70mYKCglk99aVn4WEW0uv1QqEQeGqAqibxJ3i93piRp2kq" + "ZqcnYFbV6/UDAwNgB0vWyjQuCIIAB6dpeFeaAFa1Y8eOCYXC8vLyOEEpsFdwOBytra16vZ4Meyru" + "27fv0ksvraqqmuaSzxQQ1Vmchkpdd4UtiFarXbhwYRJDzuA54KNOfSaHw0GeGvBqEFZLSkqAehfy" + "i0Ak56WXXnr55Zcjw7Verweat+jXkSQpFotLS0tPnjyJ2N0xDFMoFAqF4qqrrlq/fj2ycvf09Fit" + "VojPt1gsWq123bp1F110EY/H+9WvfvXGG28cPXp02bJlY8kD6bnYzDpQewjElo/FaU8jJhJXyc3I" + "2+mxEx/jtg8ey2cw2gMiiU2a4KPw7ztigKX0u+++6+vrAy9rHMdPnjx58ODBgoKCGRG6ogkdsIno" + "5WdWU/nggw9yOJytW7c+++yzyGFEKBRu3Ljx2muvBYpWqVT61FNPbdq06Wc/+5lEIgkEAmq1GuiK" + "Fy1aBNlqKioq2tragBsY1tyWlpYDBw689957t99+e3Z2tsFg4HA4Tz75ZFlZGYPBuPHGGz/++OO7" + "77775z//+Zo1a3w+3zfffLN9+/ZVq1YtWbIEAk9KSkpMJhNV+wBff9euXa+++iqbzW5sbETeZ9Pf" + "dGkOuk1SAWhVYCaeqA4XLq6qqop5F70dmR1gs9lJSWEdE+npwgEdfeHChWPR+SJA+dvb28+cOQO0" + "qyBtHj9+fGBgoLq6Ot2qlgr4fL6BgQGr1QpLY8wqx4ytTS7QzinVIn1mZqZcLkc59rBwlYGlCeLK" + "2Gz28uXLd+3adfTo0TVr1oAfms1me/LJJwcGBh588MGlS5ciER0eAoyy1NgwaLTm5ubPPvustbW1" + "sbGxqKgIwzCbzfbMM88cOnToySefdLvd//jHP6677rof/ehHSqUScgiLxWIILqUWj1qFFDXOvAU+" + "m/135jbo7TKNROD3+99//31IhIGFqcW/+OILSF4z06WbZWAwGD/96U+vuuqqt956a3h42O/319bW" + "Njc35+XlgVMkmOsbGxtfeOGF999/3+l0NjU1gRl5ZGSkuLgY9JWrVq1Sq9XV1dVIr83lch9//PGr" + "rrpq+/btQ0NDCoXi2muvraqqgiiSwsLCu+6665VXXnn11Ve3bdvm9XoJgqitrX3ssccyMzPhy65d" + "u3bfvn3RPkc7d+70+/2gVaHdVSJAt8Y0YHIp9OKDFrMngzkWEoayO0xuGANVQNIpzZHUlMjFgUCg" + "ra3NYDDglHBQq9U6MjICWYjm/AwF8m2cKE2SJC0Wi1AoTGlCddT4yW3w6KfhOA6xZEiygmsaGhqA" + "Jh169cUXX9za2rpjx468vLzzzz+fIIjt27d/9dVXLS0tOp0Oiko1jEe/EahQly9fXl1d/d133737" + "7ruXXHJJMBjcunXrN998s2jRoqysrIGBAZPJ9Oabb2IYVlFR8fXXX7/++us8Hm/JkiVisRji9qmT" + "Bk3xknTM+QE+ewE0zvMkdwuNyQFc0oaGhlpbW4GJAwvvTPbu3Ts8PJysHKXzBHg4N15+fv4DDzyA" + "1BYRJM/wb2NjY319PaiYkU0PXbZ48eLa2toIoZfBYCxcuLCqqgqYC2E5w8MBCzfeeOPll1++d+/e" + "48ePs1isFStWlJaWikQipIXfsGHD1VdfLRKJqJFcoVDo5MmTpaWlS5YsoT3Gacw6jLXA0WL2rEEq" + "9ihkOItdIBCQSqUTYtVDt0PKboVCkQrWinHNIGSYAKm1tXV0dJQaI22z2fbv379mzRrgYJ/bmzwe" + "j5eXlwdOszNYU0jZmlKzOfLZW7ly5YoVKyCdDzolkUjWrFlTWlrK5/MJglCpVE888cT69etfeeWV" + "rVu3Qob55ubm2267DXoFhmGNjY04jgMRFCztEolELpcDXTzsV8Ri8S9+8YuXXnrp3Xff/de//gVZ" + "9BobG3/2s59VV1dXVFTYbLZXX3318ccfZ7PZwWBQo9Hceuut4PlWU1MTCARgcMHzNRpNXl6eXC6n" + "ZQ8acxgwOQOxglqtnmg+zjQEbWFLEaCrdHR0uFwutIjDOnLq1KnPP/+8qKgoIskljfhAQi/VOT96" + "QwULHEoJEXE7+h0dhkB+n+IxwkNeLpe3tLRQ0zFQH87hcKizAdpJMpnM5ubmsfKb0KAxG0GL2TQw" + "LpcLCTkmuoGA6yFDbCLC8CTKNu5dMHfv27dvcHCQmv0STu3du7e7uxtRR8xn4DiuVCpT1w7wIYAC" + "zefz8fn8VPuN33777VhUzKFAINi0aRM6TpJkfn7+Rx99tHv37r1793q93sWLFzc3N0PaMyjh+vXr" + "r776asiACrX48Y9/7HK58vPzkRhMkmR5efkLL7zQ29u7c+dOu92+atWqhQsXAkkGm82+7rrrLr74" + "4j179rS3t9fU1CxZsgQC1EmSXLt2bVNTU2VlJdpwlJSU/P73v2exWCBpp6iJaNCYWcBoGh0dxTBM" + "JpPNajEbD0c4z8OlBGXVTul8HgqFXn/9dUiXiEQyMHKeOnXK4/EIhUI6+mCiSEQxhDTXcc5O6Mn4" + "9zOYRBMWosWX+gqxWLxlyxY+nz/ufpLGrAZJkvPEyRRAi9kTBpvNnqjVN20BtZiiF3EiTTE6Ojq5" + "7CDxAVpYm8320UcfDQ0NRXPS9vX1nThxor6+HhIgz3MAfWtK3bGAZAWRhKXoLQA8Kt8YOh5xjVQq" + "bWlpWbNmDf59fiy0ulOpbjEMg+jr6HdxOJwFCxaUlJRgsbjf4S0XXnhhBCv+4sWLo0uViuEwCaQn" + "LwONuQEYCJBvb270sYyMDD6fDynBZ7os0wGYGA0GA5vNViqVKdIywFv6+/sPHz4ckecJVOeffvrp" + "HXfcQYdnzyLEF93HOhWTl5TGHANBELM9J9mEQBtSJgyFQqHVaiFQfm50kVTvGAiCeOutt1LxZJCy" + "vv766/b2dofDgX0/4wuTybRara2trb29vcCJlYoyzApAQ/X29vb29kanqkoKYCxA3uzpYaLCw+zi" + "0cejHd58Pp/b7UbdgHpBdGtA+paI41TFPBYloCLjOfVUnPlh3GQw0wOPx+N2uyPcQGjQSCLmhgUY" + "zW/zimYPaq3T6TIyMibh75YgIHL4yy+/jEiVioXn24GBgV27djmdTpRhi8bcAz5G5jMacwxMJjM/" + "Pz8+sfFcAi1mTxiQamIubUwn3dcTFBUgx8PkXhEfOI4TBLFv377o5RkLL96Dg4NWq3WejOexACJf" + "UVFRcXExymuV6jem+hUTQiAQ8Pv90d5rWCx5OI4AD3QvwCUT867oUzHl7Rm378HI9fl8Ho9n/ljn" + "aNCYHGCA2Gw2r9c7NxQHiQOn5GJIBZhMptfrfeeddxwOR/RcBK39xRdf2O12bM4R0NKgYl4Nq/mM" + "6PnE5/PNVSUaLWZPGEajEbIjYPN+xvf7/bBHH/dK4JdKLhC/zpEjR4CbNOJzwL9nzpw5deoUyqs0" + "n8HhcKbBSwfmynQbGmKxWKVSxcm+Pt8A7SCTyZRK5Tzx3aJBY4oAfkd6sCQRsF4cPHiwo6PD4/Fg" + "UbIWOBadPXsWwrbpxp8EyDDGOpvcdyXxaTTmPKDDDA0NpcjRcsZBi9k0JgMYDF6vF1jKxx0bUx88" + "MT17SZL87LPPurq6vF5vtKsw/LVarbt37x4cHJznfuPTA6/XO7tc+9LEeZsGDRrpDOQ+LZFI6Ekj" + "iYDG/PDDD10uF7CssdlsZOxCXFnd3d1Hjx4FNcfMFnhWAOKeqAgGg4FAIOYuKIlbI5Ikg8EgPTpo" + "JA6YWvPz84VC4ZxUotEUaJMBPYng4aTWwLQcv0Gmrv4nSTIQCESk5sZxPBAItLe3m81m5MUHUzyO" + "4yiQLBQKHTlyZGBgoKCgYCplSBPE4axCioYZoWOFgrnd7tHRUavVKhaL04opMGZJAoGA0+nk8/lA" + "tRATc5vbdg5XjQaNJALmAZPJ5HQ6MXrgJAlAoeJ2u7/77jswZXO5XKFQ6PV6XS4XhmGwjjMYDL/f" + "/+mnnzY3N1NTOtMYC8FgsL+/3+v1glaCw+HweDwulwvrcgRpvMvlggxbU29VkiTdbjdNFU5jopjD" + "HYYWs2lMFaFQKBQKRcjAVHi9XqBnn/Qr/H6/0WiUyWQorhhE956eniNHjthsNgzDFAqFQqEYHR01" + "m81MJjMnJycYDFosFrfbrdfrR0dHg8HgVMqQJgDd/1jBgaC3Rh9iOnmk8XC2zKKiIq/XC79TREtL" + "fWOca+JfgOO42+3u6enJzs4ea4cBNoH5FopJgwaNmEDLHK1qTwpgHm5vb+/t7Q0GgxKJpKysbPXq" + "1a2trYcOHcIwrKioKBgMGgwGq9X63Xff2Ww2tVpNz8ZxAE167Nixn/3sZ319fRDrrtFo8vPzVSpV" + "Q0PDwoULS0tLIeEIXPzee+/l5+c3NDRMJagKHqXX63fs2HHFFVfQtPA0aABop/FZjDgr/bR5teE4" + "7nQ69Xo9JIuKvoAgiK6uLrPZPLnnwzMhmwh1DYDj+/fv1+v1PB4vPz//f/7nf7Zs2VJVVYVhmEKh" + "ePTRR3/1q1/V1tYqlcrR0dGvvvpqeHh4Vjv7QcldLpfVao3pqM9gMHw+H7jxwxFwFZvOKgMHmFar" + "VSgUqWDNQb5wcSoFZ+N/a+SOsWjRojj7tkAgYDabvV7v7O02NGjQmDrwcPK/Kea/pBGNr776yuPx" + "qFSqDRs2bNu27fHHH2/4/9i77vAqqrQ/c3vvJfWmX5KQAGn0FgUBRRB1wY7YsCBW0G/X1V1Bd2Xd" + "FV2xoGtDxFVioQhSlSKEIikkBBLSC7nJze39zsz3x/tkntl7k3DTb8L8/uAJc2fOOXPmnPP29500" + "icvlKhSKLVu27Nq166677oqKirJYLA0NDbRPcs8A8vfll18WFRVhGBYXF5eSkiISidrb23/77be1" + "a9cuX7786NGjwLDBTBYXFx89etThcJCElfhfkI2T/w3+FZ49duzYRx99BDF61FFdlSLToDFaQVuz" + "RzCC/ahJ4Djudrs5HM6g2m9JzgN8hLrzZI6Oju5z2WqwJTIYjGC3XpC4OBzO1KlTn3766ZkzZ7pc" + "LrFYzOFwhEKhRqOZP3/+3LlzN2/evGXLlpKSksbGxtjY2L4NI3QMNiEBObY70yvaCRiG2WzGcVwq" + "lYaV/zaJPvj+4Tje0tJiNpu1Wi3UcQ2+x2azNTY2slis2NhYgUDQc4M959TpLuX4KAbtkEmDRjCA" + "ElVVVRmNRjoR10ABIr+OHDnC5XIff/zxRx55RCKRkKc60P3ExMS//e1v8fHxH3300a5du8aNG6dU" + "Kun57xnAAKxbt27x4sVcLhcums3mt95666uvvlq7du2//vWv6dOns1gsBEFeeuklFoslFoth5kE7" + "j3QyeMCAkV6EcJ2a+Jbqgt7R0dHQ0HDx4sXU1FTg2UC6Ju8P8FenQWPUgxazRyoIgmhtbZVKpRKJ" + "JOA65P2qrq6Oj49XqVSDfaj1bLREUVSj0fStZRzHzWazUCgM9ukFRkepVM6YMeOuu+6aOnUqhmF8" + "Pj8zM/P06dMkGxQZGfl///d/BEH89NNPBoNhsKcCyMkg5WhBOwu3Uruj3oDjOJfLpdr8hUIhQRBA" + "SocSIc6z3++H8leh3Awve+XKlXXr1h04cOCxxx578MEHZTIZtS9QqH///fcbNmyQy+VvvPHGtGnT" + "ehjPVcfJZrMVCsU1xRb4/X5Q5Qz3QGjQCDtQrXnBPyEjIcJwoPRobre7n9G8oLlobW21WCyPPvro" + "ypUrIb0c8r/TSBAEj8dbvXr1uHHjWltb6bIIPQOYnwkTJnz99dcJCQkikYjUvGs0mtdee43NZn/w" + "wQf/+Mc/kpKSwPAAqg1Sxna73QaDoaGhQSQSCQQClUolkUhAPHY4HARB8Pn81tZW+FuhUMjlchaL" + "BV8TbABkGlSgyDiOO51OiMPncrnUyAsiqMQmGRY3TPNHg8YAgxazRypQFNVqtcESFNpZpyc9PT1M" + "CFJ/6Hp37sFwCt94443z5s2Dkx3+hSSlaGeacYIguFzumjVr7Ha7z+dzu909JLvqPzwej8lkAv5j" + "kKyCwVwI+XdwCjTyZcGNPNwUySaTSSgUUhUHPQBeqrW1tbi4uLq6eufOnZMnT540aRL5UqDjMJvN" + "u3fvrq+vt9vtUE09gITDH72ah/5/SrKFcLYVw9hMJhNkyqEZHRo0uoPb7QaLHwmv10sQBIfDCfON" + "M1BiTFNTE2S16HMLJJFav359bm4u6EyD64OSB+Z1113X25GH83k7qIiNjQXRl5wBmFsGg/HII48U" + "FBScPn26ubkZxOzy8nI2m52UlMThcOx2+759+7Zs2XLp0iXwBVu8ePGKFSu0Wi2Tyfz222/tdnt6" + "evrnn39eXV2NYdiMGTNuvfXWjIwMYDb4fD71AxEE0dTUVFNTc+7cOa/XKxaLp02blpyczOPxGAyG" + "0Whsb2/X6XRUpzO/319dXa3VaqVS6bX57WiMMoQ1PQhPMJnM7rx2hxg9UHQmkykUCsMk41ef54rB" + "YKhUKj6f310LDAaDlKu7lMbhQZFItHLlykmTJg22jY7JZLLZbOhlkFZIsL8iqVYgLS3BgmVHR4fZ" + "bA7gC4cdfD6/t5Z2ojNWv7i4+Ndff7VareRFHMetVuvZs2dramqcTmeXj4M7nN/vD93jAJZWz/f3" + "HHVGBCH4hvCpNsfn88NEPUeDRnjC7/fX1NQYDAbqnnU6nRaLhcyLEYaA0dpstgGpT5uYmNgfGRvp" + "pFNKpXLu3Lk958uE4J0+dNGro350AL5sU1OT3+/3eDzU12cymQRBxMbGZmdn+/1+qISKIMjrr7/+" + "j3/8o729HUGQ77777umnn25ra1u5cuXSpUuZTObGjRu3b9/udrvNZvO7777717/+9Z577qmoqJg4" + "cWJ+fn5hYeHy5ct//vlnDMNQFJXL5QwGgyRnBoPh+eefv++++zZv3rx169Y33nhj1apV+/fv93g8" + "CIJs27btzjvv/P333yFPGwy1srLy7rvvPnjwYHfpfmjQGFmgxexeQyKRKBQKEA9oZjTcAOeyz+dz" + "uVzU+CK9Xh8XFzfY8oPL5aqtrTWbzUOW7YMgCKlUyuFwkO6rfCEIIpfLpVLp0HuP9wyxWNw3Ri0q" + "KorH4/38888VFRVUA7VCoSguLrbZbDKZDOkMJKMCWGGz2exyuUJnvzweD5iquvyVIIieS8eDGx6I" + "98FGMARBwM9i2NlBlJLkiT7ZaNDoDiwWKyUlJSIigrpNZDKZRqPpp+Q5BPB6vXCa9ZNCDeAREeJI" + "eiVsQ5v19fXg29z3wY1AEAQBTEhRUZHT6QzW4aamprLZ7NraWhBlDQZDVVUVyOTbt283m83PP//8" + "o48++vzzzx8+fDgnJ6e2thYYKhzHbTZbdHT0u++++/e//33dunUff/wxl8v94IMPGhsbwXYNYUeg" + "nt6zZ09RUdHUqVN37dp18uTJzZs3GwyG119/vaGhAUbS0tJy7Ngxu91OjvDjjz92OBwJCQnA1dCg" + "MdJBi9m9htlsbm9vB6X1tXZ8Dz16S8uBe2hpablw4QIUOKX66w7KEClAURRCjwa7I2qPoSjsORxO" + "GJoo+/BFwJFk1qxZU6dOraura2xsJI358Me5c+cSEhLGjx/PZrMD/A8xDNuzZ8/GjRvfeOONvXv3" + "WiyWq9qQ4dfffvuttLS0OxOQ2+2urq42mUzdhWsajcZDhw41NDQ0NTX98ssvzc3NZL/wR1VV1fHj" + "xw0GQzjYtId9ADRohD/Ai4p6JdxO12DACFUqlUwmC5/MjoOaT85kMnm93kFqPDwB86nT6cClET50" + "wAxPnTqVzOECoXZ2ux3DMCaTqdPpOBxObW2t1+vFMEwgELz11lu33367SCSSyWQxMTEcDufBBx8c" + "O3YsiqI4juv1+vz8/KKioqqqKlA3UxXfKSkpy5cv37BhQ0JCAo/Hmzt37syZMysrK4HYzZo1i8/n" + "f/vtt62trUhnJOCxY8euv/766OhomhLRGB0IL+vWiMCQGSpp9AFAVHQ6XXZ2Npg0SVoyNAMwGAw2" + "m02r1Q5NdwiCOJ1OMJCGz7IMMSKuzx9FIBDcdtttra2tH374oU6nmzRpEvS4ffv2Cxcu3HbbbZWV" + "lXV1daT2wePxvP/++5s3b4YCJywWa9euXXFxcWvWrJkxY4bf73/44YcvXrwIKffAey0vL+/OO+8s" + "Kyv77rvvnE6nz+dzOp0rVqxYtWpVdHQ0giAWi+XgwYNfffVVeXk5QRBer5fFYq1evfquu+4CLras" + "rOzRRx9ta2vDcdzr9b7wwgsxMTGvvvrqlClT1qxZExMTQ3TW7n755ZdZLNZLL700lMumO4QJ801j" + "dKOxsVEsFkul0uEeSF/g9/tNJpPL5QqooDEi9s6IGGQP6BVxyc3NHfwRDQ98Ph9UWulyNpRKJZPJ" + "HDNmjEAgCE4w9uWXX9rtdlLVwmaz9Xo9j8cjCGLRokXHjx9//fXXt2zZEhkZOWHChEWLFo0bN47P" + "53u9XpfLhaLo2LFjBQIBWXZ7wYIF33//PSQ5o46BIIjJkyenp+NHZUQAACAASURBVKe3t7fv3LnT" + "bDZjGHbq1ClwL0cQZNy4ccuXL3/vvfeKiop0Oh1YxVtaWubPnw/O5yN9rdLoMyCj3okTJ44cOXLz" + "zTd7vV69Xn/VwjHhCVrM7gUGVe1KYxSAz+dnZWVFRkYOzVIBqhYbGysUCj0eT5gsToIg/H7/oKZb" + "c7vdKSkpcXFxx48fr66uzs3NZTKZPp/v6NGjHA4nOzu7sbGRjExjMpmVlZU//PBDXFzc6tWrJ0yY" + "wGQyz5w58+qrr3722WfR0dFKpbKjo6O0tFSj0Sxfvnz+/PmFhYWffvrp6tWrRSLRTTfdtHTp0o6O" + "jrfffnvPnj1TpkyJiIhgMBjbtm378MMPxWLxiy++mJWV1dLSsnHjxvfff18kEi1dulQoFDocDp/P" + "Z7fbly1btmjRooyMDJ/PFxkZWVJSUl9fT4rZR44cqampyc/Pl8vlgzRdNGiEG2JiYoZ7CH0B6Rjl" + "drvBdhcmp+61A+C/r+Vph1VntVq9Xq9KpeqS1EJenhMnTuTk5FDzWeI4DmpfFEXj4+PJGAfSu2rW" + "rFkvv/zyiRMnCgsLKyoqzp07V1BQ8Nhjj91///0SiUSj0UBmNepgQKRva2sLiIciCKKmpubrr7/e" + "vXt3e3s7eKK1trZSR3vDDTd8+eWXBQUFQFj379+v0WhSUlLCswQpjSEDfH2BQJCSkhIVFUWt8zfi" + "MFLHPSyA+sPDPYoBRviYQEc0ApKQIUPlo44gCKQPGey+QgQMyWAwDGpEnM/nYzAYCxcuFAqFpaWl" + "HR0dKIpeuHDh3LlzSUlJkJvH5XJdunSpo6MDx/Hjx49fuXJl3rx5EydOhNzm+fn5qampJ0+eLC8v" + "B50Il8u99957V61aNX369CeeeGLKlClut3vy5MkrV66cPHnyggULHnvsMQRBSkpK7HZ7e3v7jz/+" + "yOfz//jHP955553jx4+fN2/ea6+9plAofvzxx0uXLpHZzsaNG3fXXXfNmjVLoVBotdrZs2fb7fam" + "piZglXw+3+HDhwmCmDp1KkjvVN4iHHzIadCgQQUcF2SqSxpDBjIGZ0BSuI1coJ3VZEDo7VIchYCp" + "jo4On88HRUZxHIdQxwsXLpw/f14ul2dlZQXEP2MY5vP5brrppldeeeXbb7/94osvnnjiCYIg3n77" + "7fPnz4NEzWAwMAyD9oHG7dmzx+VyRUVFwaYgx2M0Gp966qlNmzZxOJwnn3zy66+//vHHH/Py8hCK" + "VD9p0qQJEyacPn26tbW1tra2tLR08uTJcrmcpn3XOGAVpaenL1y4UCKRjGidC23NHm0gelm9s7f3" + "0+gOkPMDXLmGstOmpibw1woHsgRjUKlUg6qNBk73xhtv/Oabb86cOVNdXa1WqwsKClpbW++7777E" + "xMTIyEhwgYP7S0pKjEZjYWEhKER5PJ5KpWptbbXb7WazWSwWKxQKiDHTaDQ+n4/L5WZlZZ06dWrO" + "nDkpKSk4jqMompSUJBaLbTabx+P5/fffW1tbb7755okTJ4J2H0XRrKys9PR0SPoyduxYmUzG5XIn" + "TJig0+ng6zAYjOnTp2/fvr20tDQ/P1+tVldUVBQWFsbFxSUmJsL6oU6az+cDhp7enjRoDC+oCSBY" + "LJZCoQhwx6UxNCAIIrjo1zWInrU8zc3N4JsNMjZC0YC/8sorDQ0NN910k1KphHRlDAYDcoJiGPb9" + "99+npKRkZ2dHRERotdqsrCwURd9+++3i4uLx48dDI7W1tRMnToRmCYK4cOECiqKwHeLi4thsNgjJ" + "+/fvLykpSU1NfeuttzIyMoCQxcTEnDlzhqybzWAwHnzwwVOnTh04cAD84JYsWUJ7jNMAjA67Zhdi" + "NrCM9BIPRpiX6wCA2jIUl13g6W02G5vNpnML9xNANthstlgs7iHv92AgrKzZSGceuEF9fZhtmUyW" + "kZGxbdu2y5cvJycnnz59Wq1Wp6Wl8Xi8MWPGSCQSoVAIIwFW4+DBg8ePH4dlDy3ExcVFRUUBnyGV" + "SqmRPyKRSCgUAusAj7BYLAaD0dDQYDKZ2tvb3W43h8OBjQbJYBgMRkJCAoIgVquVVLWQBefIaDSd" + "Tnfw4MH8/PyZM2fu3LmzsbFx7ty5sbGxARF0CILU1tYKBIKIiIjRQWxo0BjpUCgUoL8Lt1MXMLqd" + "2MkUbr1VZAdMC5zVAzy4MEN0dDSbze7o6GhsbDSZTGazmcFg2O32rVu3njx5MjU19ZFHHlEqlWhn" + "6fLy8nK3242i6GeffWY2mzds2AAaZKPRWFJSwmazY2NjORwOyPZff/11ampqZmYmiqK//vrr2bNn" + "s7KyEhMTWSwWl8sl55bP5zOZzMzMTLVaDYlam5ubL1++TA4S7pw+fXpkZORnn31ms9nS0tKSk5PD" + "pGIujWHH6LACBorZBEEYjUbgL4dlQOGMMDEYdgegJWaz2efzyeXyEDNLg+KATDtJow9AUVQmk3E4" + "HLvdXlFR0d7eDlmyhmZKoRdQrwxBdyFiUBk+chvOnTt3375958+fB5Zi5syZiYmJCILweDwWi2W3" + "2z0ej0gkIghCKBQuXbo0MzMTnvV4PEqlMi0tLTEx0eVytbe3g14f6UxmbjAYINwd7SzJDq8D7AI5" + "56RdBW5jMBgsFgtkbyi0RnV+w3Gcy+UuXLjw73//e1lZWWRk5OHDh5VK5dSpU6np+sgGQU0w6jlC" + "GjTCH6RW2uv1+v3+CxcuNDc3i8Xi4aKbbrebyWQG6NPNZrNAIAjDohIDAvgEDoejpaUlPj4+9Nd0" + "u90sFotUVnq93lHv889ms4VC4f79++vq6mw2m9FoJG3aeXl569aty8jIYDKZQMugNgpBEFwu95Zb" + "btm8efNLL7105513CoXCPXv2nDlzZtGiRXl5eVwuF2hZSUnJc889d9ttt7HZ7E8++QTDsAceeABS" + "0jQ2NqIoCp9m6tSp0dHR27dvT05OnjFjRkdHx8aNGysqKqgUDcdxkUi0ZMmSf/3rX2az+emnn6Zz" + "lNAgMTrOsUAxG8fx3bt3jxs3bsKECTR7N7JARuyEaM2GGxQKBdKpVqTRZ4BxA8dxo9EILtxDeUCA" + "wdbhcHi9XjC2jI7jqUugncAwbMqUKdnZ2bt37/7pp598Pt/kyZM1Gg1BEHa7Hbz3gS2Ii4uTyWRZ" + "WVm33347sBR+v7+oqKiyslIikUDOBVKcBluHSqUiuQqyX/JfkUgEWhWXywXJOWA8dXV1HA5Hq9VC" + "BTWytBt8EY/Hw2AwZs6cuXXr1t27d9fU1LS1tS1atGjs2LFdFgeKioqiHYto0AgfkHXvBQLBcJXI" + "hsOkvb1dKBRKpVK0My0IiqLl5eVJSUkajQYZLRxqMEwm09atWx9//PFQ6jLAtLS1tclkMjiNURS9" + "dOlSdHQ0eCYP/niHGvDd1Wr1HXfccezYMRRFs7Oz2Ww2WF+uv/769PT0iIgIqpYhOzs7NTUVWMFH" + "Hnlk7Nix77333ubNm0EIX758+cqVK5VKJTh1IwiyfPnyU6dOffrpp36/PzIy8vXXX587dy6Px0MQ" + "ZPbs2XPnzo2Pj2cymVqt9s477/zwww83btz4ySefuN1uNps9Y8aMhoYGPp9PjhZF0fz8/A8++IDH" + "482ePXsYVVc0BhyjmxcNEYFiNoPBWLBgAR10NHLBZDJ7paYdlZRm6OFwOMAcKpPJhtg1gLSskizg" + "6Ab4eCMIAnU+Z86ceeTIkcrKykWLFun1enA5gUgz8issWbLk0KFDv/32W1paWkpKCoPBKC8vf/nl" + "lwUCQWxsbExMjF6vLysro4b8kQ7h5BVgCECinjZtWkpKyk8//ZSVlXXLLbeAAbympqaiokKj0URE" + "RJB+6VT4fD6Px6PVaqdOnfqf//zn5MmTer1+1qxZarUa6YotpvcmDRphAtieEDnCYDCUSqVQKBzG" + "kWi1WiaTSR4RcDE7OxtGOCwDG2yQykeQ+kIhsnBPZGQkHNGgNuVyuaPYLRneKzY29vnnn1+5ciXS" + "6bxNEASTyYQoKlJ9DEtl5cqVTCYT2H4+nz9nzpwJEyaYTCawb2s0Gh6PB6wF3L9w4cLnnnvObrcT" + "BCGRSNRqNclzRkREvPHGG+BSQRDEww8/nJ6eXlZWZrPZoqOjp02bplAoXC6XRqMhw7ORzpQHubm5" + "ERERyOjVEF1rAGaM/pqBYjaKouFQu5XG0IPeD30DScj5fD6fz8/IyNBqtUNmhCQIAgIEuFyuQqEA" + "JfEo/o4cDkcikYjFYjIoevr06WPGjLFYLDNmzIiLiyM/h0ajEYlEQMtTU1PnzJnzxRdfVFVVrVix" + "AsOwDz74oKmp6cUXX9Tr9cBbkJZnEuQ0kn4fYrEY+AmNRgN+blu2bBEIBHq93u12b9y4sa6u7oEH" + "HtDr9fAgyQdDC2KxGDqCqOyWlpaxY8fqdLohmjsaNGj0D3K5nMfj4TheUVHR3NysUqmQYTpvu8zX" + "QBoJRwe6DNNjs9kQkxU6yLmCL5WcnDzqM2wxGAwejxe8HrqUfCQSCfUGBEFUKhWsbYQiCSMIgqIo" + "GHI0Gk1kZCT1BvJvqtc3CO2zZs0iCKK7XJ44ju/atQvDsLvvvlutVo/u73JNwefzGY1GpVIZkND+" + "WkMXKdBGqLg1QocdPvD5fL21hIc/IAprULX7sOr0er1MJgOF8RAbE0A3jyDIoJaqHnbArCYmJj7x" + "xBNA40Ewjo2NfeKJJxYuXDh79mySXZg4ceIzzzyj1+tJz8D777/f7/fv2LHj5ZdfBt38kiVL5syZ" + "A0yzTCaTSCRg4oD7lUplSkoKcAwMBoMgCJVKNX36dIlEolAocBy/7bbb7Hb7J5988vLLL7NYLKfT" + "iaLoihUr7r//fpVKBSshKSkJUtGQrwD0Jjs7OyYmxmAwzJkzJz4+nvYMp0EjzAEMRkdHh9vtRhBk" + "iCtKhIjRxwV1mQ2nn685ypicHhA8e13OGzX5CNi64Qp5nXwK0nyCMEyufyrDg/5vvQxoATgTiKqj" + "xl5BNhOn07l79+7s7Oy8vLzRmlbgWgMZJVdbWyuRSK7xaildiNkjdDrAZffaOUAHELAlDAaDWCwe" + "6RXqAmAwGKRSKVVZO+CA2QOS43a7m5ubbTYbiGdDM5Nutxu8na+FMic8Hm/BggUBcul1112H/C83" + "IJfLFy9eTL0SERHx3HPP3XvvvUVFRXK5XKfTKRQKoVAILMIdd9wxe/ZsMIYDZs+enZeXJxKJqGzB" + "qlWrmEwmBAXw+fx77713/Pjxx44da2xsTExMnDx5cnp6ulgshiUhFotfffVVDodDjcEBPqOqqqqt" + "rW3q1Kng5DmE80eDBo2+AzIgIgiiVCrhcAgrchlWg+k/XC5XS0tLcnJycPzOMI5qpCD0WQq4M8CN" + "CwD2/3vvvffWW2/V6XQ9rPyAL0WV2LtMQcLn82+++eZJkybRpuxRA7QzhU1WVtZg150Jf4wGDo/M" + "CMLn8yEd0SB1FG40daBAjfUaZS+o1WoHW4yB5Xf69GmDwYDjeFVVldFolMlkQzOTKIpCui+fzwcl" + "nSExzyj7jlSAbTngSpe3Uf9LEASfz4+Li4uLiwu+OTo6OsARkcfjQU4XKsRiMbVBoVAIOdgwDAOf" + "AqpLHoqi3SVN3bFjR2Nj4+LFi2NiYkbxl6JBY5SB3K0mk8nhcIyOejNhCJhYi8Vy4cKFvLw8gUAw" + "6t28wxww+Tk5OQH26hAf7PmGtWvXUlPB0xgdQFE0mIm6BjEaUmWgnRm24Swe7I7CAYNRV2ywnav7" + "gP5XUBualyIIwmq1er1ehGLuGALA/CQmJorFYgzDmpqaLBbL0HQ9jAim8cHrBMqbBeQJJzoR/EiX" + "K63LK+RFskGwVwfkIuquBYDNZktNTZ04caJIJEJ6PFj6vwVo0KAxUAgw9I1Ezbvb7Q7/IwVmVSqV" + "jhkzhsfj0TJ2mKC3MnaI4PP5tIw9KhH+R83gwePxgIfpaLBmA4Yg+YdOpxMIBFarddhPfGC+w00q" + "HnBAaaVePTJcu5pMfz2UawOYvNbWVrfbzeFwkpKSFArFSOT8eoXgtwu+AtXgAxJvkPFgwY90OWNX" + "7SjAIy6UZmGRPPLIIz6fj/RRD+6aRB+2QCgA3/XRvU5o0BgMkBLgcGUa7w8Igmhubo6JiRkRSYn4" + "fH5MTAwtgPUfIQZph9LOYFCN0ZdTgAbg2vyssJ6vXLkil8vFYvHoEbOHABEREXw+HwSq4Vo98P1s" + "NhuKokKhcHTHopvNZoFAEKIChfwiMEVD9oGgL7lcPixlVCGtCOhcWCwWrIdhJFo4jvv9/mHUAQE/" + "UVdXx2KxoqKiguOCBnZm+tbamDFjQrzTbDbz+XyBQNCHXnoAhmF0JgsaNEIHNUcDMmKdxlEUHUGC" + "K60K7D+AQwi+3jcmtstH+m/1ob8yjdEEtLPeDSSVGOXm0IHF77//3tHRIZfLNRoNEKphFLavBWeM" + "3npfEwQBThpDLGYjCDJu3DgoBTmUXYNMq9Vq+Xw+1E5wOp0DuzACPKuveqfb7bZYLCBpD+AwegUU" + "RaVSKRTfCk/6Hfr+HfBphAabmprMZvO1cIbQoDGAkEqlEG2IYVgYZhoPBXQy52sKOI7DWg3GQHXh" + "9/sxDOuZmgxsjzRohD84HA7onmhrdi/gcDgwDFOr1SqVarjyAwOBhHzgo55YKpXKEN8R7Ldut7up" + "qcnlcqWnpysUiqEx6kIvXq/X6/UyGAyRSDQEfAx06nQ6HQ5HZWWl3W5nMplQk3lgu/b7/QiCsFgs" + "giD8fj+LxQpWWkOPoGIQCAQymWxgd0cfEq5AztKw3SChDwyiAAZ8AB6PZ8At5DRojGKQlBdChYd7" + "ODRoXAVAN9va2mpra9HOQpUQheT3+2NjYyMjI/vpmAld1NfXu93u5OTk7jgfgiB8Pt8QGyFo0AgT" + "0GJ2L1BbWwuSNkQ2DqMt6Boh8719TYvF0tTUZLPZtFotNSn0oAIozYkTJ65cuSIQCLKysiIiIgab" + "nEDjQqHQ7/dbLBafzxcREQE+0sgAOVnAe4HNUyaT+f1+cOWglqciBwN5vFkslkKhkEql1Bb6PwzY" + "bqEvBmq67x7uQTonKpwDlQd8p8NrJiYmdmnqH3FOsDRoDA1APmlubrZarWT5XxqDimvEa2+QAETw" + "nXfe+frrr8HLjyAI8th/4IEHnnjiCSjNE/pi7pJA/OUvfzEajZs2bdLpdMGtwTBKSkq4XO6YMWNo" + "Zwoa1xpoMbsXgMpJfD6fw+HQhBYQVnw5OI0TBFFdXd3e3q7X64egU6ArhYWF7e3tCIJwudyh8XSA" + "aCgURTEMwzBMKBRGREQMYIlCaEehUCAIAoHfXZZ8AyLqcrlKS0vNZvPkyZO1Wi2CID6fD0XR/lvX" + "wYoOTYUuc2IY1oPkTIarQW0wu93O4/FGRE6ggUJ3wZnd5XKjQYMGgiAejwccfGjQGBG4ePFiR0fH" + "nDlzFAqF1+uNjo52Op1xcXGzZ88WCoVAUsljn8rOBRN68D9HECSArDc1NdntdnAdpz5OTZfzxhtv" + "4Dj+1ltvxcTEAN9CzR4atmpuGjT6D1rM7gXEYjEUiEIHp6rBSAQproTJbMAwYmNjh8xpHLqwWq3A" + "fgXUkRpssNlsoHlMJhNk4IGyISMIAs3ClR7syQRB2Gy2pqYmq9UKG4TBYMCEyOXyfmqvTSZTaWkp" + "n89PTU0Vi8U9S9pkgsDz58/HxsZ2WZUaXs1oNLrdbrVazWQyHQ4Hk8lks9lhsoaHAMGLhJw6BEFE" + "IhGdHY0GDSoC9kv4kLxRCTilvV6v0WiEfI30bPcBOI77fD4Oh7NmzZqMjAwEQVgsFo7jHA6HPOHB" + "PZPBYEB5DgDJ6JLtAHvjdrvJi2w2Gx7U6/XFxcWg7kcopS6BpEJIXVlZmVgsJlVUoD2H+5FOPf41" + "4qRJ41oDLWb3Ah6P59rJ4hCK2y04FSMIIpFIwip5qVgsHuK830MfjQzETCAQBEz+gLAjXq8Xx3Eu" + "l9tbygdaBhzHJRIJEqT27kNTBQUF7733HofD+eMf/zh//vzuzPXAlgHVr6mp+etf/3rHHXfcc889" + "AQOAicVxfNOmTQ0NDatWrRo/fjykWujzOLtz6OjZ0WN43UBonpUGjT6gubnZ4XAgCKJWq6+RDCnD" + "AmC0Ojo6fv/993HjxgkEAlrS7hXA2c3j8ZhMJhRFeTxeQDIOksfweDwgElutVq/XC45jEomEJLXg" + "JGixWC5dulRUVIQgSHZ2tk6ngwQoDAZDIpHU1NTU1tYSBGEymXg8HoSPqdVq0keM6q+OIIjP58Mw" + "zGw2A5WH7kC2H9JpokFj8EGL2b1AYmJicXHxNXLWXzUgFuYBqm2Fm+2ruyIWg9QXgiAJCQkikchu" + "tw9Imz6fLxTlLtqZewxBEIhl6L/wRiZXg5wlvbVFE53op3EYhuFwOE6ePNne3m6327du3ZqcnJye" + "no50489GZmvz+XwWi8XtdgekSYclgaKo3W4vLCy0WCxOpxPpXL3Um6nebmSkdwBLTf5EPkLeQPrX" + "wQ4KYBB7eJB6A/XXPk9j6IBexGIxLTnQoBEM2BSVlZVms5nFYiUnJ2s0GnqnDDhAfWyxWHAcF4lE" + "pIBNT3WvANpkNpstl8uBHnm9XuoNoFlGUXTPnj1WqzU2Nnbv3r0gk3O53CVLlkyZMgUkcxRF29vb" + "N23a9N1333k8HhRFfT5ffn7+iy++GB8fD2VE/X7/v//976amJofDAV8wLy/vhRdeSEtLA7aESl5x" + "HDebzceOHTtw4IDP5/N6vTk5ObNnz05JSeHxePSHpjHKQIvZvUBLS8uAF0wKWxiNRqFQGJzvKgB8" + "Pj8Mj8Wh/EZgQY2OjhYIBHa7vf+zgeN4a2srlKS6amtU6XFAPgQ0IpVKydjvXj0OIvFAea0fOXKk" + "qKgoPz/fYDCUlZVVVlamp6eTjWMYBsnPoViI2+0G2Z7ozP2OYRibzaYKveSDCEU3hKIo6cwGXxNY" + "EBDdQVSGmH/wzIc7oWgZqYrCMAw8GsAdDsbD4XA4HI7f72cymWQUHPRF6lDIX2F4VNc7UHUNpTcd" + "bUygQaMHkKUKaR/XwQAQHavVWlxc7HQ658+ff911143Q1O7hEFcIinKv11tVVQXWadLdLCoqSqFQ" + "4Dj+ySefnDlzhsvlqlQqlUrl8Xjq6+uPHj36zjvvTJ06FUjehg0bCgoK8vPzFy5cKJFIPvroo927" + "d0dHRz/zzDOkYHzs2LFx48Y9/PDDfD7/yJEjhw4d8ng8b775Znx8PHVIOI47nc633nqroKBAqVSK" + "RCK/33/s2LFvvvlmw4YNeXl5A14thQaN4cUAe7oG/EHultGxbWw2GzV8ZXQDondCcV3uTy9UU2F/" + "2umy2QFssAfAyE0mE2iL+98viqJarTYUYkMQhM/nA87ParWCN+OAoLdsDTlUg8FgtVr7/zVBZf79" + "9987nc5bbrmFzWa/8sor5eXls2fPlkqlsGCcTqfVapVIJBaLpby8/Pz583q9PjExsa2tzefz1dTU" + "GI3GqKgoBEH8fr/JZOro6PD7/UKhUCqVikQih8MBa48gCCjGhqKo2Wzm8XgSiQTkYZPJZDKZ3G43" + "i8WSSqVKpRJ4PuAVDAYD2YhMJtNqtTweD34qLy8vLCxMSEiIi4uTy+XwE/A3JpOpvb3d4/HAPEul" + "UrVaDeoqEOztdrvZbHa73TASiURCZ2elQSMcQDrpXCPa9mEBjuMejwfDMChsgYSHyNpbeL3ecPCC" + "hjSfzz//vFAoRBAExGa3271mzZo77rhDIBDw+Xyn03n77bevXLlSrVbjOH7u3LnHH3/8s88+S01N" + "VavV4Ao+b968NWvW6HQ6JpMplUpPnz59+PDhBx54QKVSwTtmZGS8884748aNYzAYt91228cff7xu" + "3boTJ05ERERQx8NisX744YetW7fOmDHj+eefh6KtR48e/eMf//jPf/5z48aNMTExwzNTNGgMDgZG" + "zAapBlIpIBTDGvyX9E4ZcQdlAGJiYkQi0dC8yODRlZ5bJr2OQZgZjAFQAXbIAU/NbTQanU7n0Kw3" + "mE+RSAQB0gMiYYaS9Rq+VGtra0NDg8Ph6OjogGwxw1LRnWQ6ISVbP1cvtFZTU3Px4kW9Xp+UlKTX" + "6//73/8eOXJk9uzZU6ZMgdsEAgGHw6mvr3/ttdd+++03qh2+trY2NzcXFBA4jpeUlHz55ZeHDh1y" + "Op0JCQmzZ8+ura0lZVe/379nzx4wT+3duzcnJ+eWW26RSCTFxcVfffXV0aNHrVYrn89PT0+///77" + "p0+fDm4L33zzzRdffNHS0sJkMn0+X2Zm5osvvpiTk+NyuQoKCjZv3mwwGCQSidPpTE9PX7NmzcSJ" + "E5lMZkNDw4cffrhnzx5wrvP7/WlpaU888cTs2bMFAoHH4ykqKtq/f//hw4fb2toiIyPz8vIWL148" + "fvz4AcwhT4MGjd4CzrTU1FS5XG42m+nNOHggXYRsNhv4/oys2Yal0tLSolAoQnFJGwJwOBzIQJaX" + "l5eQkFBdXZ2eng46o+Tk5OPHjy9btiwtLQ14mOjo6FdeeaW0tNThcKjVagRBNmzYgCCI3W6vra01" + "Go2XLl3y+/11dXUWi4X0p7vnnnuSk5OhO6lUumrVqn/84x8///xzfn4+1Pgk86d88803brf7nnvu" + "AaGdIIgbb7zxs88+O3XqVGtra3R0dDjMGA0aA4X+cuQgYMNR6PP5HA6H2+12Op3goikUCjkcjkgk" + "gnxUVN/IkQiJRAKHwhBosqGXAVeF4jjucrk4HE53GctcLheTyRwC6xmQIrvd7vF4pFLpQPUIn4YM" + "ux0aEATB4/GGPkAdtCFyuZzL5SqVSpVKNVxB8kwmk8/ns9nshIQE0H/3X8yG8Ok5c+ZER0fz+fy8" + "vLzNmzdfvHgxLy8PXMGZTGZHR8frr7/+yy+/LFmyZOHChX6//8CBA999953FYrHZbG63G0GQjo6O" + "F198sa2tLSkpSSAQmEymL774oqmpacKECcDP2Wy2L774dK2SvQAAIABJREFU4uzZs5DyTaVSuVyu" + "pqamdevWFRcXp6amrlixoqGhYe/eva+//voLL7wwZ86cAwcOfPDBBywW6+GHHwY25aeffiosLMzI" + "yDh69Oj7778vFAr//Oc/p6SkFBQU7Ny58/Dhw6mpqSwW69133925c+f48ePnzZunUqn27t37888/" + "r1+/nsvlXnfddcXFxX/6058uXryYmJg4duzYtra2bdu2VVRUvPTSSxMmTEBGGrtJg8YoAwSPDPco" + "rgkwGAyhUEgmzhhBRx8MNTIyMhz8nzEMYzKZ//znP/Py8lAUhXI5Pp+Px+PBDV6vNyYmJiIiAry0" + "EARhMBgxMTENDQ1ktW2Px7Nnz54ff/zRZrPZbDaj0djW1gY+50inOS0hIYGaNY3D4QgEAofDQaYT" + "h43T1tbW3NzsdDoLCgpKSkpASmexWO3t7VartaqqauzYsX3IvUqDRtiiX2I2CNgEQXR0dDQ0NFy4" + "cKGoqKi+vr6iosLhcLDZ7DFjxkRGRubk5OTm5up0OmpBrIF6gaHEhQsXQHs3qL2Q8ieCICSZGShg" + "GGa1WqVSaTABIDor+vB4vCEobgTtQ5XpAaRG0I5Op1MqlUNDm8G7AbJ0IkPoTAjezhKJRC6Xs9ls" + "iUQiEAj6L9/21ocfXJ0VCkVmZmZhYSFkEO3zAJDO8DyLxbJjxw4ulztlyhSJRILj+LRp0woKCo4d" + "OzZt2jTQmjMYjMrKyrKyshtuuOHJJ59MTExEEGT69Ok+n++rr74iP8TmzZtLS0tXr1593333SSQS" + "r9e7efPmjz76iDyICIJwu90Oh+POO++8++67Y2NjpVLpp59+ev78+fvvv3/FihWRkZFer/fGG2/8" + "v//7v61btyYkJFy4cIEgiIcffnjp0qVCofD666/ncrkSiQTDMKfT6fV6ly5dumjRIrlcPnbs2MjI" + "yLi4OCaTuXfv3p9++mnevHlPPvmkTqdjsVgzZ85MSkr64IMPTp06lZeXt23btpKSkmXLlj322GNq" + "tdrv97///vunTp0yGo0j9MykQWM0wefzXTvVRoYRIH1VVla63e4RyjEOca2T7gCJ0OLj46nO22Bl" + "gThtgpKvlAzeHjt2bFNTE9Bio9H4wgsvHD9+PCUl5aabbkpLSzty5Mjnn38e3BfZCGnibm9v9/v9" + "4LgOqK6uNpvNfr//yJEjJ0+exHEc7Dper3fMmDFpaWm03xaNUYa+i9kQF+pwOFpaWjZt2vTzzz+b" + "TCaPxwOZh4DHraurYzKZ27dvj4iIuPnmm5csWZKWlsbn80do+pChLOgFRxKcQQPYLIvF0mg0JN2C" + "HEvk4YggiEqlQntMMD6wGKQjFaT3AW+2S8AElpSUmM3mITZ0UD/cgABCP0KJyQ8eCexrajHMPo8B" + "QZDz58/X19dnZGRAAhUMwzIzM7Oysvbt23fixIm4uDhgFE6ePNnR0TFp0qS4uDjYLwKB4Kabbvr1" + "11+hncbGxsOHD48ZM+a6666DMtooit51112HDh3y+XxwD7xvenr64sWLwWjs9XorKioQBElISODx" + "eB0dHSiK6nS62NjY0tLSmpqa+Ph4HMd/+OEHmUyWmpoqkUhWr17N5/PFYrFWqxUKhTt27GAymZMn" + "T46Kilq+fDmPxxOJRBcvXrRYLDExMRiGVVVVMZlMHMezsrLUanVpaWlzczO4wZtMpitXrojFYplM" + "tnLlysWLF8Mk0MwHDRrDBdA/xsbGikSi4R7L6AcUaBgzZgyfz4e0lPTp1zcAyYPoPGpda6A+MLEg" + "WsP9cBHylQB/uHXr1kOHDt12221PPfUUeJYlJSUVFBS4XC6yCwRB3G438KtkR06nMyIiAsprk58P" + "fFpVKtW///3viIgIsKvz+XwMw3w+X1JS0igIL6UxBBhBHi59FEVgI1mt1m3btn355ZcVFRU2mw3p" + "TL9JlXD8fr/FYjGbzQ0NDYcPH3722WchVIPD4VBlOTIkuH+vM7gYmuGhnZV1kEFI/IuiKFVu93g8" + "LBaL6kA+xF7HgzSlQFQGo+VgwCsMi3sYmcFroJqy2+0Oh0Mmk/WqrgbQaYfD4ff7pVIp6Y3WN0C/" + "e/bsaWxsTE1Nra2theIuUDjEarUWFBSMHz9+7NixKIq2t7e7XC4qE0YQRGRkpEAgANbh5MmTV65c" + "iYyMZDKZGIYB95aQkJCYmFhVVUXVCHA4HBaL5fV6WSxWTU1NQ0ODxWL54Ycfzp49C2ljamtrf//9" + "d1AlzJs3r7CwcPfu3c8++6xQKExPT1+0aNGcOXPEYnFubu6NN9747bffvvXWW2w2Oy0tbe7cufPm" + "zUtKSoJxbtmy5b///a/L5YK0rpDILTk5GcOwRYsWnTt37tdffz158mRaWlp+fv6kSZOSk5OHJlEC" + "DRo0egZZMoDG4IGMy4NQMhD8BrW7UXy6QpkMi8VCpu8leYZg4zNBEMDJnDp1CgL6CIIoKSlxu90J" + "CQlgD4fWqF5voKfes2dPbm6uUqmEFGv19fVWq3X+/PlyuRztrDyKouiECRMyMzNPnjzJ4/EyMjLg" + "y/p8vqNHjxqNxpiYmDDxAhiVGDVLHTypwyEoIxT0RcyGQ7Cjo+Ojjz769NNP6+vrgQlmMBhMJpPN" + "ZpNiG1S1IRPznjlzZs2aNbfccstDDz2k1+upARhgRgvzWRvKsQ0BLcdxvKKiIioqSqvVDnZfoxgg" + "vOXk5Ozbt89gMITzAu4BMGyIF+hVnDwQbJPJVFpaCqm8qarr3gJas9vtFRUVXq/3l19+OXHiBIqi" + "MMkej8fhcJSUlFy6dCktLY3sBUzooN0Dtox8I51OJxKJIDsjyNjQi0AggFRtZNfUp1wuF6Rwr66u" + "bm1tTU1NdblcTqczJycnJycnMzNTqVSuXbt26tSpP/30U3l5+blz586cOdPY2Pjwww8rlconn3zy" + "+uuv379///Hjxy9fvnz27Nnz58+vXbsWumaz2VqtViKRjB07ViQSwZW0tLTo6Gi9Xr9+/fqDBw/u" + "3LmzrKyspKQEx/GbbrrpmWeeSU1NHaFLi8aAYERoomnQ6D9IV77i4mLIwjWoy95utwPhG7wuBhs9" + "HA4cDgfDsK1btxqNRriNwWD4/f6oqKjs7Gw2m83j8VpaWsxmM/Uph8MhlUqhnuu8efMOHDhw6NCh" + "vLw8jUbj8/nWrVtnsVggCTyAwWDs2bNn0qRJEydOZLFYhw8ffvfddxMTEydNmsTn871eL1kLk8Ph" + "PPTQQ8XFxQcOHNBoNBERER6Pp6ys7JlnnlEoFHl5eRKJZHAn6xoGOPAPVwafAQQYJ1QqVZjLjIBe" + "i9lw/DU3N2/cuPG///1va2srgiBsNpvJZMpkssTExISEhNjYWAzDuFyuxWIpKiqqrq62WCxut9vv" + "9zc2Nn722Wc2m2316tVkGAaKoi6Xy2azyWSycA7MAKVd2A6vt0BRNCoqCszmowxOp9Pn8w3ZlyII" + "gs/nj4LDK5QM510Cx3GIWrx8+bLBYBgzZkzf2gFt68mTJ2tra3Nycu655x6FQkEQREtLi1Ao9Hg8" + "BQUFhYWF+/bty87OTklJEYvFTCazpaXFYrFAjnEEQRwOB/n1Y2NjJRIJjI10mTOZTFVVVW63m/rJ" + "qAURNBqNSCSSSqVPPfXU3Llz+Xw+6AGdTieXy1Wr1Xa7XS6XL1myZMGCBWazua6ubt26dQUFBRMn" + "Tpw4caLf78/NzZ0wYcLjjz9+9uzZ9evXHzp0aOHChRCOsWzZsvvuu4/P54OeEcOw1tZWmUwmlUqd" + "TmdKSkp6evqtt97a3Nx8+PDh7777bseOHTk5OfHx8b1yMaAxagBSB1nsfcBDRWjQCCuQzmhXrlzx" + "eDyDt9SB3BQXF6ekpKjV6sG2bQRkzx1AZtLn87ndbpFIFPwKEolEJBLt2rXr559/JsVsHMd1Ot0n" + "n3ySkpKCIIhKpZJKpfAs6KOnTZt26tQpyPg7f/78HTt2/Prrr6tWreLz+Xa7nSCIuLg4FEVBN8Hn" + "8+VyuVgs/tvf/gZK7Y6ODg6H8+KLL5KBWmB+AyX4vHnzpkyZsmXLluLi4mnTptXX1+/fv9/pdC5f" + "vhzIPX24DThgVtvb2/l8vkQiGbkuObA+i4qKDh48+OCDD0LqvjBfML0Ts2GXGo3GP//5zzt37jSZ" + "TOBDJRKJxowZ8+ijj86aNUsikXC5XPioOI6bzeaDBw9+9NFHZWVlDocDnEu3b9+O4/gLL7xAmmjY" + "bDYkSAvn+YqKioLag6MDIE6E84T3AbBEy8vLm5ub09LShqZTFEXdbnc/Y5JHNJRKpV6vP336dD+T" + "z6Eo6vf7d+7caTabn3766WXLlpHJWsC3bdy4cc8+++zhw4fnz58fHx8/Y8aMHTt2lJSUXL58GTzQ" + "fD7fvn37WlpakpOTURTl8Xgymay4uBhSi4OjUVlZWVtbGym1AksXHx+vVqtB8IakZVVVVQwGQ6vV" + "ggLYZDL95S9/YbPZq1atunjxotVqnTFjRnR0tEgkioyMzMrK+vrrrw0Gw86dOxsaGhYsWJCWliaX" + "y/Pz848cObJlyxaLxaLVagUCgcFgwHFcIpGAEf706dN/+9vfli1bdtdddx04cKCpqQk8zBMTE8EH" + "7+233z579uwNN9wQFxeH0PLVNQZQD8G/aGfGPoj9Gbms0sgFnWZ8UAHTazAYvF4vWHRg8Q+SeQPa" + "zMjIEAgEQyBjQ0Ue6hVSt9vPxv1+P1SdpLYGfzz++ONpaWng/k29Pz4+XqvVoig6adIkKFMCCc+g" + "haVLl2ZnZyuVSgRBJBLJ66+//sMPP5SXl7tcrpiYmFtuuQUqbEdFRaEoescdd6SmpqalpR0+fHjn" + "zp3Nzc2LFy++9957J0yYALIAm82+/vrrlUolGHVYLNbf//73999///Dhw//5z3/8fn90dPS99977" + "hz/8QSKRjHQCF55qAhiSRCIZEebfHgCDj4iImDBhglAoHBGGz16L2X6//9NPPz106BBZOlKlUi1e" + "vPihhx7KyMgItoYJhcI777wzNzf37bff3rVrV1tbG4qiTqdz165d48aNi4qKgpJ6HA6nz5a0IQOk" + "dB7uUQwkwn+B9g3gAzY05x1QptLSUpPJFKCuvhZAxs5pNBpI9NXn2CqisxL4hQsX9Hp9Wloa6N3B" + "CAy/ZmVlzZ07d/PmzefPn8/Pz8/Ozp41a9a333773nvv3X333REREefPn9+7d6/JZAK2SaFQ5Obm" + "Hj16tKCgQKvVJiUlQfmuurq6xMREagC/xWJxOBykvn/GjBmFhYXfffddREREZmamx+PZunXrkSNH" + "brjhBj6fX1dX99lnn5WVld1+++1CobC1tfXMmTNxcXE6na6wsPDzzz+vqam54447oqOjrVbrmTNn" + "oqKiYmNj4+Lidu/evW/fvpSUlOnTpyMIUlVVtWnTpsuXLwsEAjabvW/fvv3796MoevPNN3M4HBzH" + "6+rqvF6vTCYL/+ORxoADxAyj0VhTU1NTU8NisXQ6nUajUSqVIzeT6AgFqZKjM40PEoC9RFH02LFj" + "NpsNiKnf7/f5fJDxp2/U/KrRFjKZrO+DDnkMPp/ParW2t7cTneWjFQqFXC6Hg72fjAqfz9dqtQFT" + "BH+PGzcuOOAIJHw4PebPn49hGKl0hou5ubnZ2dnQIEEQOp3u0UcfBVmdw+EE+JyCUhhF0ZSUlGXL" + "lvl8Pqh7Qr3nL3/5C5PJJP3MdTrdn//851WrVlVVVSEIkpycrNFoRg13HZ6SNoIgo8BMCBObkJAA" + "tVrCc54D0AsxG06Hy5cv79q1q6WlBUEQMPU8++yzd999N8TPdCljsFistLS0devWcbncb775pq2t" + "jclkms3mr776Kjc3d8aMGSNippDOgl5kVkYgA4M9eDokLxSQH4LorJtNpsEMUe7t5wyDIERmGekn" + "AtZVKMtsAMX77rrr4Tr5NzVnad/gcrnUavV1112n1+upuRvgeAER9Ny5c5AVTCAQPPfccwRB7Ny5" + "89ixY2w2G6qAxsfHKxQKCDJfsWIFgiBfffXVq6++GhsbW15e3t7eDulPoQYbg8GA+DHS1RxF0Vtu" + "uaWmpqagoGDdunWpqak1NTV1dXWZmZnLli2LjY1NTk5WqVTbtm3bt28fjuNWq1UkEj3zzDMTJkxQ" + "q9Vnzpw5cODAgQMHoAQ3giCPPvpoVlaWTCZbu3bt+vXrN2zY8Oabb4LtXSgUPvfcczfccAODwZg5" + "c+aZM2c+/PDDkydPKhQKl8t14sSJmJiYuXPnRkZG0jLVNQVI9ltTU7Np06Z9+/aZzWaofJOUlPSH" + "P/zhhhtuiI6ODucYq1GJ6upqq9WK0GbtQQAYe2tra48cOeJ2uxEEsVqtBw8e5HA4cXFxkFmjD6sd" + "mh1Gjhxk7NbW1k8++eTbb78FtxSPx5Ofn79ixYrMzEyhUNhPx1fSf7vL3jkcTsBypfLqXSpwyVBq" + "8maqJYw0JwRwKSwWS6VSUe8hbwiIuIb0KAKBIDo6usv7RyKIzpq4GIYBfzKiXyecQebZGRHohZgN" + "mvVDhw41NTUhCAK+4rfddtutt94KMnZ3Swqcf1Qq1RNPPFFWVnb8+HGQRi5fvlxaWpqTkzNSKmRA" + "hQObzQYbKUC0uyrdJeenyzu7/BXseKGILnBMd7fyyKjU4BtI3Ty1I7iTfCpgYME2W+o8dHdlUFWV" + "kAGLdNsGd1z4b4i7MZQzkaQE1DvB3CqRSDgcDphDSQSrkJHu5XnSNY6ai4t8sMu3oE4vQUkWetWX" + "DfEdA94i+N3JleD3+41GI2i7YSR9JjBxcXFvv/22UCjk8/kBA4M209PT33//fS6XKxKJcBxXKpV/" + "+tOfFi1atGPHDpvNlpGRMWvWLKPRqFKpoqKiEATRaDRPPfWURCLZuXNnZWVlRkbGggULgFdOTk4G" + "/+3rr79eoVCAVzb0JZFInnvuublz5+7Zs+f06dMEQTz00EO333471Na67rrrMjIyfvjhh71799rt" + "9vz8/IULF+bm5gqFQr1e//bbb584cWLnzp2XLl2aOHHiXXfdlZWVBQnYJ0+e/Oabb+7evfvAgQMo" + "is6ePfuGG24YM2YMj8cjCGLx4sUcDufrr7+uqKgwmUw4js+cOXPFihU5OTndKTFphDm61JcF0I5g" + "EASBYdixY8dee+21U6dOOZ1O8qfm5uaioqLjx48/+eST48eP71XCwp6HN6ggNWW9eqoPw7sqs97l" + "yRxwygX8Sv5dX18PhtYQ+6IRIiCcsKysbO3atWVlZVC5xuFwrF+//vvvv//Tn/40Y8YMcIoOvU2i" + "Mx6VxWIpFIpQRNkB/6BAEKurq9evX//zzz+TecgQBGlpafnll19eeumlJUuWQFh1cNc9r8ZQhtrl" + "pgvgHIJvDniKypYEb5ZgzpDUVgf0Ql7pclR92+zBzw6NZaXLwaAoeuXKFZ/Px+fz++mARh8sPSMc" + "zl5Y8FcVMUIVs6GtqqqqHTt2QNozkgWMjY1FelwTpKyo1+tvvvnm6urq+vp6JpNps9mKiora2trA" + "k2HYp+yqgHOwsbHxt99+i4qKUigUkAAZZI8eMmDBIQWR536/v8vzBa4HlCzGMMzhcPB4vB52LBxY" + "PB4PdKJdNu5yuVAU7ZIhIyiZ8TEM83q9cCeDwXA4HJC4AjzlIEuh1+uFuClqI+DgSoYegW6VFHQB" + "arWa2inSlSjeZ8Byh5rtcMXv98N4QiRFofTSw4DLysqgbjaZaqvL+6nia+jDCCBsAY+Axqe9vd1s" + "NgdEf/Xhpaj0j/oTlb4Gj8FisdTV1TkcDqfT6XK5yIC6q4oTXY5HpVL5/X4wNXd5g1wuRzqVRwRB" + "8Pn8qVOnjhs3DsdxcKaFjQmJ2QiC4HA4999//+LFi/1+v1AolEgkoFFCURQs2MuXL2cymSwWizRo" + "EwQhEAimTJkyduxYMCQqFAo+nw9OjEwmMzY29qGHHlq6dCmGYSKRSCAQEJ3Rd2q1+qabbpo1a5bD" + "4SC7I19Hr9fHxcXde++9KIqKRCIej0euVSaTeeONN86YMcNkMrW1tTEYjPj4eIh1D3aU6G5KQxTk" + "QsdQnsx9YJtI1U8YqiEIgqCqF3vYSuSChItMJrO+vv7f//73qVOn4PQmG8EwzGw2f//99wwG48UX" + "X0xKSkL68Y0CKM7gAbYkQtH5IiF8Mmpx0BDRHftFFQm6E7O7+xVkDCgKSHQ6/cInC5HbGy41WfBp" + "ED6bhRwJDOaLL77YtGlTTU0N9awzmUzHjh179tln33zzzfz8/AD3javqbgiCUCgUPZNdKkiOjjrC" + "4DZDf0eCIKxW6/r163ft2mWxWKh6apfLVV1dvWHDhujo6BkzZgRnJgpejURnKkQkaOf2YYEFC9vA" + "TiCUwq7gxg8rH+ksD0ZtBO7sMjFN8ObtcoT9WZPkhJB9wRVywN213DPH3gcQnemodDodgiCQPY5k" + "UfrQYPDsURcPjXCYCjL1YM+fphdiNoqiO3bsuHDhgtvtBu5h0qRJkG/wqi+MdtpR77nnnsOHD7e2" + "tno8HgRBfvnll9tvvz0hISHk9/ofwHE8ZO5AED9JEMQHH3ywfft2vV5vs9kgpoXH4/H5/GCtBtoJ" + "r9crl8u5XK7JZKIms0E6mSqLxeL3+yFzMjzLYDBsNtulS5eio6OpNbcCXhZEhaSkpPHjx/P5fLJx" + "AI7jXC63rKwMWHao7kD2zmKxbDab1WqF5E9tbW2tra1MJjMpKUkgEPz+++8KhUKtVlutVp/P197e" + "LhKJDAZDY2MjtRcURTUaDZRcRjqdlFQqlclkgprGcNuDDz7o9Xp5PJ7dbocPx+VyBQIB/DfEL9gd" + "T4PjOI/HKysru3LlCkEQHo+npKQEjtqrtoxhmFKp1Gg0V9U+AoMrFAqDS0ObTCYQwFpaWmpra+EG" + "KEtOflDQKIE4F3DEg6SKoqhAIICyVRwOx+/3CwQCDodTX18/ZswY2MzwLajPgqXL4XA0NzeDp0mv" + "4PF4YEjwX3gFSHzt9/vhfZ1OJ4fDMZvNIpGIGsnW0dHBZDJBuIU9XlJSUlRUFBkZyePxQN6Gullu" + "t3ugYhoJgmhrawPJlhw8/AHqKiivzWKxoGoXgiAqlcrtdoN6CGKwyaeo/AHRmZOGZKbJ65APvIc5" + "NBqNXf7k9XpNJlN3D9rtdgaDIRaLQcsGhwmbzdZoNBqNBu5xOp2wx+HUdTqdEFbn9XrhKEb+V36D" + "V4Nt6PP5utNWhA5IhAOl2vqWpRLUBNRYYngj0CGSwfwul8vr9ZJTQX4OUENAHRpSfQOPgFOVUCgE" + "zU4/33RgAUXgQA0NBxccR2iQRYhUz5Hz43a7f/jhh9LSUrfbjXaakgDQgsvlOnDgwMyZM7VaLVlQ" + "sw+D5HK53bGbPQiQXYqj3QFuBuUUiqIejweoUpfKowBQiwaF2BfRmV6huzEHvBc80sPskUcEVXGM" + "YZjH44FiAfCB0E4LXnD7yEAwhSHK8wF3km8HSwgU8V2+70CNM8SBIZQIIyaTeeXKlc8//7y2thb2" + "CHmswWqvqKhYu3bt1q1bU1NTqQ3Ce/W88oEE9JyglBwYHFPkILt8KnTxDFbFtm3bDhw44HA40CAT" + "MYvFqqioePPNN+Pj43U6XZcfJWAtQRQSQRCwc6mqigFxo3W5XEBBYG6Bh0EQBHgAJpMJpS7J8YB+" + "GZz8A9Dbzdsdelj5UDMYOHByKjweD4fDgXMGHOaDk88NeHVucpBAIsH1FQ72vsUSBswetD9SopGv" + "EZA8TM++uqGK2fBp6+vrnU4nbD+1Wp2enk6WAQilBfDwzMnJOXPmDKTbbW9vNxgMPp+vtx7FsOYs" + "FguGYZCZbAgW3/jx48+fP2+1Wm02m9lshoLhJHHt8vAlfyXL9lJNnVTmKUCJiHSauH0+X3V1NTVO" + "pssuWCxWD96DsM+7nGQ4C8haDjAM8qSAfLbkeQGcLrRG5SeArac60rNYLGCXySEdP34czObAScM9" + "XC7X4/GEboPt4cAFxh2czdra2jZu3Bj6ohIKhVqtFk7kLjXEMEVut9tkMslkMqjwRA4JQZDq6mpI" + "Nv7JJ5/s27cP1DHUHYjjeFtbG4ij4J4QIBq1t7dDSI/T6XQ4HCwWC8dxgUDA5XKvXLkyadKk7Oxs" + "BoNx6tSpK1euUAl2TU2Nw+FwuVzvvPPOV1991aVHA/WNAq6ASA8DZjKZdrvdYDBAdhaXy9XR0SGX" + "y0GBYrfbhUIheN/BhLS1tYFVRywWNzY2ulyupqamt956q6ioSKfTXbp0yel0yuVyWMNwdHSpV6Zy" + "eOQNXX5okFVA4yMUCu12O5fLZbFY8AdIlTwez2azoSjK5/MFAgGTybz99turqqrOnz8PcqlcLpdI" + "JFKptK6uzmq1wqigZXg7q9UKWvwArog6vO7mlpSjAp4luX/SbgknAI/Hmzx5ssVi8fl8cXFxwXF0" + "CIJwuVyDwYBhmEwmq6ioUKlUPB6vrq6usbERCt6Quxh84CUSCYZharXabDZfuXIl+F16hcWLF4Me" + "0O12y+XygHrjVwVsTKPRSN04LBbLaDQWFxdDLhMEQQiCqKysNBgMHR0dXq9Xr9f7/X5wvbNYLFar" + "lc1mQ84FUlRAEITD4eTm5mZmZjocjmBGdhjBYrEuXbp04cKFd999F0VRk8nE5XKbm5tBS2W32yG1" + "r9frtdlsbrfb6XTCBkFRlMvlWq3W7du3Nzc3B78OvDuKoiCZNDY2gkJdKBT29t0ZDEZmZibEVgQA" + "yCuLxQL1cUDLHR0dfD4/IKyjO5jNZjabXVFRAcriyspKh8Oh1+u9Xm9zczNVrArGggULQn8dFEU7" + "OjpMJhMUKKKeJH6/32azwfHrcrmoLp2wsCUSCZ/Pd7vdHo8nwOGzpqbG7XZLpVKfz1dZWQmlSUtK" + "Snbt2hUXF6dWqzkcDo/Hgz1IWtLI9zKZTOC00s+8FQaDQalUhiLjtba2KhQKcEMTCoVwPMpkMvJ4" + "BC+5gKcgzVj/tXI9fM3W1laVSgXCIUEQQqGQlHbY8WORAAAgAElEQVQOHTrU3NwMPAP1cfLvsrKy" + "X375RSaTgWIXOBP4pqDPDX0YXQ4MZuz8+fMJCQmkf6VCoaAOA2iE1WoNGCTZHUikAfzM3r17SQsE" + "9TqIfwRBHD16dN++fWDQpt7gdrtdLheVxzaZTGfPngWvw6ysrOjoaCA6DAZDIBA4nc4+qxq9Xq/T" + "6ZRIJMePH+fxeJmZmVBP++LFi1KpNC0t7bffftNqtVqttrq62mg0Qr8Yhk2fPt1sNldUVAQoQJFe" + "bt4eYDAYukw/jKJoXV1dWVkZl8udNGkSfLWOjo6LFy8mJSWVl5fb7XatVgvWApJ8g4Y6MTExJSVl" + "QBKLAv01Go0kcURR9PLlyxwOx263O53OHhT0PYCcPXLtaTQaWP/dPXJVlRONgYVAIODxeFeNRgn1" + "JILbVq9evW3bNkgDlpSUtGnTpjlz5iAha0BhH27ZsmX9+vU1NTUYhnE4nDfeeOOhhx4CzU1vRWWw" + "YAyZgqeoqGjDhg0XL140m81Q4wfq6GIYBmYWEFQQihJUKBSCL7fZbPb5fKCA5PF4JJEA2QyOJ2qY" + "NJfL9fv9QClBiQj6QpFIFMzmQko5p9PZByoOzi1gBofYWjQoIJxU2JOEhCAIkUhEKgUtFgusNpfL" + "BZYleC+BQEByaVeuXOn71HcCmJguf4IR2mw24LbBdyCUNoEJIytywZclOqvmwEXS0ATrjZS9SYIK" + "CbSQTt0BTCloJaBNn89HKoPZbDac9ZBoBEZOuuvDYiDfFP6wWq2grwXHeLJl6Jqqtuh5L8CKQjrl" + "PRRFQaXi8XjgCqnu4fF4ZF+kFonUpyCdKiG32w0Pulwu8EoAAyM4YCOdZiWqL30A4F3IvUO9DhwV" + "6RUGHwU+K4goTCaTy+WCwpjNZsP0wnthGAZXEAQB3RBp8IQhwbIMGBVpHgngV0h901XLtoEOBdYD" + "qNXACAwrB7TsoG4HGk/KyQjFD5BqzIF5AGs2LABgOKj2W1gDLBYLXpnU3YQy4KsC1iRVHxf6eUt0" + "utKRekaSaQBTCZvNhiMF7QxaITcCzBvp/I907jg2mw32bfAK4fF44HhPzU3Q3QiDrUO9ep1evbXP" + "54NC6OT+crlcpHUFTgmItYF1SH5NmAq73U56K3QHLpcLmguS0nepxiL1PuTf5L9isbhLaRlWGtKN" + "cpbqAhOgcESCLMnwQe12O3goOBwODMOEQiEsgIA8DgHfgvTpCAUwzyBJgt6Q/AmWEDn5cOiRGwqc" + "bmBdwblBVeWA9AJhUFarFaQsqVQKjhhcLpfD4eh0Ongvp9MJ4jqZg9PlckFeqGDJtldwu92hZLwj" + "CALuhPWm1+sVCkVZWdmUKVOMRmNra2tiYqLVar1w4QK5YFBK3Fl7e3swhSWCtNt9Y+ipr4BhWHp6" + "OtRVxjBs//79tbW1XYbUIZ1CrE6nmzdvnsPh8Hg8QGLOnTuXmJhYU1NDTV5AHTZCWVHkWwQfAnAQ" + "gYKVjNFDUXTu3LnUpoAQnz17tktlBJh8UBQ1m83UfltaWiwWS88bGWruULcScAjg94F22mk8Hg9k" + "FcFxPC4uDtgGDMP4fH5ycnJVVVUPWpLgj0j9CZhY8FlDEAQKAIFjHZvNFgqFFouFzWZzOByS0MOD" + "oL5xuVzBL9irzdsDulv5wEKDR5hcLgf64vF47Ha7QCCA/RhszYZ9DeWju1wPfQB8CNLJEUVRUPSD" + "ui04yjIYwac3GWUJZILNZt9zzz0rV64ES0CXjXi9Xrr8RBiid2L2k08+uW3bNqvV2jcxGxq5ePHi" + "ihUrCgsLEQRhs9lvvPHGgw8+CFnQhkZa7jMIgjCbzW63u6ysTCAQiESiqqoqSP/mcDgsFovH44Go" + "UbvdDqbd5OTkmJgYJpN58eJFr9erUqk4HI5YLAbOFUw3RqMR3F+BVECoZ2RkpMViAT9hqVQKJh2C" + "INRqNYgZAcSjubm5uroaWPYQvymc3QqFwuv16nQ6HMdra2vdbjebzZbJZDabjRQA+Hy+UCi0Wq1e" + "rxfKwXs8Hp1Oh6IolG0oLy/XarXgUg5WGrvdbrPZVCqVUqmEbX/o0KH+TD6TyfR4PG1tbQqFAti1" + "gBvYbLbJZHr//fdLS0slEsnSpUunT58OAnMPzRIEweFwGhoazpw5A/I5vD5BEGw2OyIioqWlBUXR" + "9vZ2sVgMaVp0Op3H4/H5fEDzgDmuqKhoaGhwu93x8fF6vZ7FYmVkZMhksqamJvBerqqqGjt2rFwu" + "b2hoSEhIkEgkJSUlarU6IiKCzWabzeaLFy8CsRQIBC6Xq729XaVS4Tje0dGhVCo//vhjMsYMZgOc" + "zDEMu3DhgsFgQBAkISEhJSWly8lBOnn3/2fvzWMcScvD/6py+bbLt93u+5junum5Z3rvhSXswq4I" + "sAQIgWFnYRFSJFBIQBHhH0hABCElERKEkEREJGijIM7dJWQ3BJa9Z6+Z2blnema6e/p0H76vcrmq" + "3t8fz6/fb2F3u8t22eXqfj9/jHrsctVTVe/1PO9zRCIR2I1xu91gJBoYGGBZ9sqVK3a7PZfLwc6A" + "1+s9duzYzZs3V1ZW3G63zWZzu91WqzUajZZKpeXlZbPZ7PP5ZFk+d+6cw+FIJpOvvfba8vKy1WoN" + "h8OhUIhhGLPZzHEcvAJoA5sKBvcCmxv4fcHzDwaDqVQKXLsDgQBsYuzbtw+sTtPT04FAAHYyp6en" + "BwcHw+Hw9evXc7kcNAb4hKKoH/3oR/RG3Ar0l0QiATELm/YXbAHBz81ut3u93mQyCfpSjSe8f//+" + "gwcPXrlyZXZ21m63p1IpQRCi0Wgikejr69u3b9+FCxdu3LgxPDw8ODjIMMxbb7115syZXC7n9/sh" + "sh124MHLA7p8NBpNJpOQeRFvUzscDty5lpaW0um0x+OBsijKjYXmB9Vz5841eQZsnQHlGUYVn89X" + "KBQg1zpFUVCQBlJM4aVbT08PbBGsr69DcwWlJZVKJZNJn89nMpkSiQQe9+gNh39sa6iQBGya2I6G" + "LWt17X2pBNoPXnPj1wGywR9g/YHWDpYpHLBQKpWKxWKN7SnoYhDKBA8ZBwzDQ8a3CREo5XLZZrOB" + "nxH+FkaDTZ9DjWeCF4UMw8BcBmtceLPg/I+tKhXnxAY7rEiASoxLMCqXieCdpBI4OTyETb9VKlpg" + "kIJ7h1+Bqilv1GoGHx+w74BZh6Zp8DtACPl8PpfLBXEBkMpEeQnl/UJ8HLaoqm9mYF/DmqdS8q1C" + "JMAKKW0UWgenAxgtOY6DVTicEyZ6aIEURYGhCvxvq8PflNZtuIVisYjddCsEAA+dTX1lK54MRILA" + "hzit7FZPA14uqH81miVSbHU4HA4w5SCEWJZlWZbneTBGg2s0XBFMEsrRntoICsA3KG8kKMXGl62u" + "jocgGPTA2FF7C4RhGLvdjh3fsDAURYFCC349YPLGLQ2ct2F7QI07p81mg+EFi4otaGCqBk2+trMS" + "rP1g1QQnAXPnpgfX1XlrULvXwLcMw4DlThAEpU6udJWFIyFkjKZpaAPweOmt8wdTisdVIzRJOcJj" + "X071N1j9Exxrhj/s7+//6U9/CvlQK1432thC7+rqqiilRtCd+vKLKN9ujeGmNjCowd/lchm0U6gz" + "3sDZ2gm9kXupq6sLpD1w4AB+JvBA8FhMKSKLKIqKRqPVJ+zq6qIU5mT4UPkr9XR1dR09erTeO8LL" + "Dvj3+PHj+E6r5amxage3T5qmx8bGIAK5OiznxIkT9YpXLS3O1rbpjZRKpVOnTs3Ozh44cODkyZN3" + "3HHHtgl+0Ma+U7FYxGmo8EymXGbBqlTeiCqUN4Ax+vHHH//2t79969ate++99/Of/3xvby8ojXg3" + "u1QqgRcDjPssy/7RH/0RbKHAxAzbwjBDoI1YVpgDWJZ9xzvewXEc3hlmGAaUydnZ2b/8y7+EInmf" + "+MQnPvnJT9YujQhrWbSx1Ulv7J/jTWkY62H5DktweIYgDKhwePNcluVCoQA64V/91V898cQTIyMj" + "X/rSlyYnJ2GdAbdc25ONYRjY56k4GKZ8WLLAVjCEd8INIoQgbAzMCrAdYTab8f4YKHWwAnjPe95D" + "KZLqUxRVKBTg+E1FunTpUjAYVCZEgFWyMjhzK8CPCELBYdEJksC/ELLO8zzeM8lkMnNzc6Iogm0r" + "n89zHAfBFKCxgK0qn89DfDu4hcPiHsxwFEWlUikw4Xs8HuywoBVXr15t5udow7MDNvrwYOJyuWBn" + "Bu4CLDKw8xMIBGiazuVy4XAYKsGAjQ/er8vlKhQKuVwOesT6+jo8amjVyWQSEmFsul5cWVlxuVzg" + "iWMymeLxOOxBbWuPa+CuoT3/8Ic/pBQ6kmkjl57dbvf5fLOzs+BS2NXV1d/ff+vWrZWVFZDktdde" + "u3z5Mi4grDw5PEOz2bxv37677767p6cHIdTX15fNZsHXLJlMOp1Or9cL6tChQ4du3ry5sLBw9OjR" + "aDQai8UcDgdk5jt9+vTCwgLk24PnqVSEqC1UGvhKlmWPxzM4OGi32/1+P/ht5fP5V155BXxNoW8q" + "zwO3D/fr8XiuXbuWyWSggn1fX58gCHa73e124wv99Kc/Vf/MaZoOhULQNraSmdpQ8AYHB0Oh0Nzc" + "XCqV8vv9mUymVCrddtttmUwGsor09/ePjo5CXd9YLLa6usowzOnTp8+fP59Kpe6///5PfepTzz77" + "7Pnz57u7u2FhUNEA4Fo3b95ECHEcB46vKpsZtJ9QKASBA/gxiqIIL3pTHYZl2UOHDsXj8VwuF4/H" + "f/WrX8Fgkk6n77777hs3bvT39weDwdXV1dnZWXhla2trCKG9e/cuLS0tLCxYLJbh4WFIu4hPu7i4" + "CFYwakMRPXfu3NjYGPQjpQAw0x0+fLhQKMzOzla/Anw8wzAzMzNgF+B5/tSpU/F4vMZuNkVRPT09" + "Dz74YI2IX3izkK1gbW3tvvvuy2QyFy9eFAShu7u7t7f3zTff7O7uPnr06O9+9zuv1wuD6sGDBx0O" + "B4z2LMsmEglZllOp1NmzZ2EgZVl2fn7e4/HgqWerq8OsB2EvoVAIVgvPP//8xYsXtzKZgVJ9xx13" + "HD9+fGhoiKZpt9vtcrlgxpmdnTWbzUtLS29/+9vBdxpun2GYhYWF6enps2fP5vP5wcHBbZvW5OTk" + "zZs3QXkDUaPRKExqx44dy2az4IoYCoW2Og+0yampqVwuNzExAW5oS0tL3d3dm/oY1tV5a7Ctmi2K" + "os/ne+c735nP569duwYVv0Hgubm5np4e3HRlWf71r38NESKnTp2Cfa/l5WWWZX0+X403K0lST08P" + "7B7VFtJkMkWj0ZWVFfWaNvwkFospLU3PPPMMRVHgQQOTGqS5BdebTc+TTqf9fr9WIfEErag7jWcF" + "qB53C7QRUQZGU+jhi4uL2WwWx8B0Mso+gzYiLZVroIp1SY1lSo0zK3XaVqM0mqiXp9pgr/xcmfBc" + "2xuBnZOtpmFweerv74c94UgkosZ5BoRX1oSsoDrbWQWg1HV1dUGJqTvvvHN8fByPdNhxHXtm4ukQ" + "codQG4tv5RSuNFrDLQ9VpQmEhV04HN67d+/FixfNZvPw8HA0Gm2scFq1gz1CSLnexR+aTCa4BLxu" + "SNAVCASGh4cdDseePXsmJiaw2UXl1asXqdUUi8Vbt27hG6QV3uMQmQY9UXkjuKlUnx/Sem3Fbbfd" + "BhYQlfJXAIrlpr64CCEIJFFKUlsYfFjD3zbJPffc07qTV6CsR6CkRgup7ho1qLAV1jAdasXY2Jjy" + "v/TGbjaY85QRHxBrgL3fT58+/ZWvfOXMmTM4LRw+AyyzBgcHv/SlL73nPe+BhgqmHGWQC97NNpvN" + "9913Hxh6sEEB7vr222+HrP74tCrBdg2w0ymf4T333MPzPPYHqb59uF8wz0mSpPTPqjjVkSNH6hKp" + "xm52BWCDA59b/CscQQ177CaT6c477wTbKOxtPv3001//+tdzudzRo0fvueeeu+66C+yneCu+enIE" + "GyLsptblz4mjRZQ2brTdbjZUQwBj6Oc+9zm4riAIfr8fVy0RBKFYLMIQB5uTTqezVCrBwszpdFYH" + "CSsdYhFCmUwGor6rDUBoIwYNG782vTuapsGvHp7J1772tccffxy0iOpzQlt65JFHPvvZz4L9Gi+u" + "Kp42UgRIwwgM+73gm/DBD34QrKvg6YZdGKrfiyzLH/zgB/FpcZREtc2r+r5wukrQiMD+jvfVldJC" + "XxgcHPza17525MgRcItgFKGeEHkE8Ybo95OcIYQgoBpSpW671rLb7bgUC35Q9EZNbNx0a5wBOHbs" + "GFJEqeB9/uoj6+q8TQLhYzRNP/DAA8q9/erohuPHj8NjP3HiBNx4jegYAPc7NUFYtEa72Z/73Ocg" + "6OyJJ5741re+VTu7Lb2x7UdypHUg9anZyr3BBqL+oBu/9dZbyWQSGpDNZpuYmAgGg1t11I6iQp+k" + "qkZ5NT+pYFN1VDlhqxevMYVWeaGK6arGmatlw//FGuamK9pmqH0GZqNyOLSluhY0zciGc97gFS0O" + "e1Z/0WozRzweB1ftGu7WyiuCpWArf+bG2Oqiyr+xnyrc7+zs7Pr6uprbrxeGYUKhUEXrqv137Q9r" + "APGuzTzJGr+tsVdQ8dDqHQGMhY53V9FgWipJtXeJcsyH4F78ofK/b3/720+cOJFMJufm5sCvBJaM" + "sBz0er0f+9jH7rvvPhxvBQvBivpheCSHuEplq4Y/YNxoZgME2wrxf6Huxra/wkaximlU+XZq++Zs" + "elqVrxI/cOWvkCJDBNpwooHDYO2eyWQgSQrMMsqyC1vR5LOttv9u+qHyW2gDVqu1wgKFzaYOh6Na" + "8mqjKqb6LdR+L9VNcVOUg/kXvvCFU6dOXbhwAfzzlX0EXsHAwMBHPvIR2HNWM7mDXlpxFZwmEMyy" + "+KVXDwI0TStfnMpsf5vymc985uzZs8899xy9kdQWZMBVMP76r//66NGjoO0rexPWhLG9rGLusFgs" + "6jNmQ8es+ET5BKjf73pbUSFkxdJRSb2dt0ngLipGueqHBpM7bGDAJyp7aF1jS73J1ap/gjvvZz/7" + "2f/5n/+BUia1X5AmGd0ImlNfQa+xsTG32w3BZslkcnFxEUy5Kk8CLX51dRWilWAsDgQC6s/QIWDV" + "FBvg1fyk9ufVB9S78mt4pbipDDXOplLUrfTw1oGHV4hBojdo6UUrIgmvXLkSj8chRqAZrQlKuzHb" + "5jDcmEUghQn+sK5baAa/3w+rfxADkvS24slbrVYcrNEGlHa0dl6x9ic7iRbdXYV1r/pb5VfKYbxF" + "1LCqVH+r/C9N05/4xCcYhvn3f//369evgzc4wzAulysQCJw8efKxxx4Lh8PK9Xf1tSqWwjVMvU2i" + "nETUm6iUqrVWstX1kwrdvkJnwCZO/MnU1FQymcT/hS3l9ref2ve4qcGihpDKh6/ViFfXW5Blube3" + "9xOf+MS3vvWt5eVl7FwAcUkURXm93vvvvz8SiSCE1JuSq5uiUnuvEBLP45s+vWbgOO473/nO3/7t" + "3/7yl78Ej3QINGNZNhAIfPSjH333u98Na+CKKypbY/W+i3rFuOIn1Z/Qv+8RoOYkahqMVg9QJfh1" + "V4/w1VI1Jpv6X2k1cCGEsI1PzRl29prBoNSnZg8ODmIDVSqVWl5eLhQKyvir2mdgGIbn+QsXLqRS" + "KRz0smlQR+dTezFnLFS+Pqqz7xdMxZBT5+rVq7FYbHR0tM0y4PQ51V8hhCD/ikpXZPV5LGBqgbBM" + "8C6rT+jmoDc837LZbLlczuVyyswxml+rFaetDZm6jMW2Zin1B2tCM5ew2+2PPvrovffe+93vfvfn" + "P/95LBYLhUIPP/zwI488cvToUeWOXDOSaP4QNDyhLl2vRiOp8OBTb2fXBZWCqTSvtw7QnB977DGG" + "YX7xi19MTU1B5kir1Wqz2TiOO3ny5KOPPur3++tdLjbQ5jV/AgihcDj81a9+9ciRI7/61a9efvnl" + "1dVVlmUPHjz4hS984d3vfjekvN72ui0duxoeRtr5JLdFjam6Y3trDVQmWzHire0G6nYaV9r8GjDY" + "QIAKZJiUJGnPnj0DAwNGTECfzWZhDtBbkGYB2+q2YWOgQLanPnkDwHIzm81eunQJkjBvmgWn1UCQ" + "8KauO6IoxuNxj8ejMnim3ueMEJKbq8vaMPDw4b6g2kr7ZWgFCKFUKgU5YPWWhbBLsVqtExMTDz74" + "4EsvvRSLxfbt23fy5Mk777wTJ/HWW8DdhdvthkmQPHkNgYdps9kee+yxD33oQ6+++up///d/z87O" + "7t27d3Jy8tixY8PDw202H2sI7LJ6vd4TJ068//3v/4u/+Itf/vKXHMf9wz/8wx133IGj+vUWk0Ag" + "tAS1ajaMAn6/H1L/UxuZHpRhmSrB0bM0TTudToOOnrAzqbcUjQAViXDqxWKxmEqlfD4fJAGuPh7e" + "L9T99nq9akp36oXX6+3u7oYKNzicqZ0CQExELperzuTEsmwkEtk287kRAV9Wu90OuXaUNSoNjSzL" + "MzMz0Wi0nc7qBIISWVHcntoIscZFy/SWbhcBo9yRI0dCodD6+rre4uw06I1cXMFg8L3vfe/b3/72" + "UqnkcDiwV5ehdVF6o+iU3++HCs8WiyUUCkFONePeF4FAAGp05DrUbJqm9+/f39PTc/HiRag2efbs" + "2aWlJSjnq/I8sNmFNlD5qw4kGAwabhMe2kEymYTS0LBKg2DaGuoffA4ZU7YNFVYjA5wTKklCzZtm" + "ToiFhGVQJBKx2WwHDx6EIjdtnsAcDse+ffuglDp8ogx5qjdztbEmYBA1EolwHGcsybeCYZiJiYmO" + "9eAg7BKqAzKbH4cJ9QIPHJfIJmiOMmQayvjBf2vksjYQWH7YphIEgef5nTFREgi7HNhv3kqXqW8/" + "1mw2K4sfpNNpKMmgUg6GYc6ePRuLxXAiYuMuF4y4kwCPOhQKQS1oANeOqo1W94sQgioXi4uL5XJ5" + "YGBAfRCyGuBUkJW3/U2LZVm/3w8+xtgGX6POYW1wpR8D9RFD284qoGkaZ6Yx0Csg7Dx2gJqxM7h2" + "7RpUHt5JA13nUG2eptTlFTcEyhuBWlxkZiEQDA104WKxKAgCx3GbqrRqxy/YLWRZdmJiwuPxqNTN" + "qikWi6DjwTAaDoddLhcZaNoGGDvW19ehmGc7gTeey+WSyaQgCAMDAyMjI1t5qjcAnKdUKsmy7HK5" + "cD2PdgJ5zkA9rrjfBjKCptPpRCJR72+1pd5Lr6yspNPpHdaj8ahFILQZ7CtOvCr0BR7+8vJyPp8n" + "OnZLoX8fvcXRGLgjqKlm3H0mAoGAkSSpRn3r+moLI4SCwSCOpm4g+3SF58zevXuhaLb6MxCaAV5i" + "JBKBaqvtBDvd2e12iE2yWq1aGaqxVZjneUmScPy/JidXTzabPX369PLyMs4Mie+3gW7i8/n8fj/U" + "x1L/K21R1vlUg15p2FpKOp2GYrkEQpuBcezAgQM9PT3qhwJCi3A6nbhgod6yEAwMwzA7MlELgbCr" + "gC7sdruhDsKmPbpBJQfSGqdSqSY3RSFVVTNnINRFPB7ned5sNuvliGW1Wl0uV71RytsCYV2iKIqi" + "iBC6du3ayspK++cwhBDkbMeXbuZ+TSaT+i0si8Wybbr4ugBtOZVKJZNJ9Zo2yLDDNO1wOIwLGRII" + "bQYhJAgC+OnoLcvuBR7+0aNHq9NbEgjqqU610Aw7bKolEIwITdM11t51h4ziiFOKohYWFhYWFkRR" + "VK9FKDfAbTYb3s8kVr320H4FuyL6qEUvGtpVMpm8fv16Op1eX1/XpaAXTuKi/KQNF4UoYqgWpuFp" + "KYry+/2U4r5q3A6IcfDgwb6+vh0WckZMgQQdoWna4/G4XC6D1rbYSWiVs5PQabRtztL2KoIg6Lhr" + "QiAQtqW+zknTtHLHTBCEuhxKqQ2fUhhoenp69u3bR7aJ2onX621nEWBZlqHqG9UWs6vFYgGnPr1M" + "vCaTqf07nxAIAEHgmocQm0wmKI2m5pGCk4suyecIhJ0KQiiTyeTz+R1TKs+47MigGAJFUXih0mpC" + "oZAmlhpohyRZAIHQ4dShZsPS+dixY36/H+p2Ql40lT0cfr6wsICTk0MJULIibydtftqCIKyurhaL" + "xVaXcIOmyHHc3r173W633W43m83tn3skSUqlUlCoo82XLpfL0Cu1PS2cMJvNJhKJ2jY1+OrKlStL" + "S0ukUxMImoA7YKFQqJFkxYgYvagnQRc0V4ahEa6uruZyuVY3SJqm77rrLsjP2uS1YJKNRqNOp5NM" + "uARCx1K3mh0KhWw2GwwQPM/fvHkzlUqpGTLg51NTU9lslkyuRkflJGG1WiORCKQTz2Qy9fo+1AU4" + "LYMD1cDAAHg7txmEUCKRKBQKyk/a09pb5DYG3dZqtTocDjUpW1wuFx4fCASCJjRc2qNjAc8XMlAQ" + "6gWqdWp4QpzEyGKxaHjaTYHEMaIoapVFT8M8sgQCoRXU3T8hRzR2JZ2fn0+n0+onS6VnTjAYJB7j" + "BqVUKvE8v+1sh/Veql1OWbCjOzMzs76+rruJF3zmd8BS0mazgZq97ZHZbJbned2fPIGwM4DleHd3" + "t7LGxw5AFMVEIqGL488OY/c8QJwSKJPJaL6W4DjOZrO1YeZaXV3dYW4pu5Dd0+kIzVP3bnZXV9fE" + "xASu+CdJUsPj3b59+yKRCGmvxgLe1+Li4s2bN+sKCgoEAlartXXTmLJudqlU0iWOkaZpt9uNb7NU" + "Kq2trbV6Kdm2LGtqjiyXyySClEDQFpZld1j5H2yvN9ZNddpyBbLQd5pULSWbzQqCoPlp29YO3W63" + "sdo8oZrd1ukIzVBf5lKEEMMwbrcb72slk8lisahy1KhImUYSJBoRnL4OIVSX2tzSdw2ZAhBChUJB" + "FMV661Rrhdlsjkajbrcb/msymbxer/qiXA3TUdN2RwlDIBgdhNDq6morEhzqiMlk4jhObynqAIa1" + "TCZTKpX0luX/USqVlpaWent72zDL6A7c4F7mgmUAACAASURBVL59+7QtXdk2wFp99OhRTWKzCXqB" + "EFpcXOzp6dlJ7kWEhtm2Ck8jBUKgpgWcempqCgoU1x7isbfP1NRUJpOhKIphGLvdbjhjNgFoZ7py" + "9UDxOvhXl2m4XC6D4QkXnTabza1+Vh3Sg2AQMJlMRlwAEQidCRgQc7kcGBD1FkdLOmTgUglIOzc3" + "l81m9Zbl/2G1Wnt6enaDjo1pQwR1S4Hd7G2X5oSOBaJ4jN4OCVpRKBRYlq2Rz7uRBfGdd94ZDAbh" + "b8hvvO1PYEwBSzDDMAghh8MxNDTk9Xq1SgVBMDpNGneRgv7+fr/f335rMRTWApc2mqYhH1hLmzdC" + "yO12Q+F6ffsRLPUGBweDwSCx0xMImgDzo9frdbvdpG62jsCYxnFcR21h0TTd0lCsDsTokwv2hiAp" + "AI1Le8L4CR0OVmzx1tqmNDJtw7IeTooQgjhYlmW3bXZLS0s4CTPLsiqTKhF2A7Is8zxvNptBY6wX" + "KOhFURTHcWazOZfLtdm1D1uLlIYnSLHe6us24CEPpa21kg3Oc/To0UAgYDabSacmELQC5tlkMpnJ" + "ZHbYbraxALeCvr4+l8ultyy7GqOrN4uLi6IolsvldDoty7JeAW4EAqFJoOeGw2FYh291WCO72fiM" + "DMOsr69fvHgRko1vW1MXjoRhpa+vb3BwkPhdEABRFJPJZDOJJaDFQ/7z9fX1bDbbttkLEqrTNM2y" + "bG9vr8fjgUu3QQCEUAN7XK1IVJZOpwVBuHHjBkSRaHtyAmE3U3sWJ7QNohQRmqSnpwcyGu42NwQC" + "YUeybaRkfTM37IANDw+HQiHYsxJFMZfLqUzNIggCbKMxDNPX1+fz+YjPDAEwm83hcLjh7V/YauB5" + "PhaLlUqldrYr6GD79u3zer1Wq3VgYKCdoRAQt1lXgRCE0PXr11dXV7WqiQJP+/r161AanVQrITQD" + "SQ5UzdraGjiCkSejEtKKCJ0JmMUtFovD4SAhkwTCjqduNZuiqGAw6Pf7IUKJ3kD9z///C29Yhclc" + "SKB+v8J2w9hsNp/Px7KszWZrzPm8YSKRiN1uh1T8bZ44sWarsivJspxOp+v6iRqgYjlZNxCapFgs" + "gk+K3oJ0BNCh9u7dC0l3SOdSgzJHBoHQIcBsC35kpCMTCLuEBp3GlT6xKh1QK6zLxAuOoCEQm03T" + "NCQOcDgcFoulPRYcHDwJqzpRFNumIUCfGhkZ4ThOvX7LMMzx48e9Xi9sPhM7F6FDgKaYz+cLhUL7" + "fSI6uSN4vV6n00myHqgEIZTP51uqZuPW0snNhtBpIITOnj1bKpVkWYYVi94SEQiE1tKgomuz2bCS" + "fP78+VgsVnuygQIGeOWkVAnIQEPQBGiBsCWeTqfVl3Nv/roIoXPnzsXj8WKxePHixZWVlXYmEe3u" + "7nY4HHX9BPJkEu9uQkcBHdbv94NPSvsF6Njcv7Ao11sKw8AwTCgUakOuMlEUeZ7fSfXMCa1mdna2" + "XC4Xi8VEIgEuYHpLRCAQWkjdajYsREZGRqD6H0VRkGm8xk/AlXR9ff3atWuwTWG320OhUBvyMBN2" + "D7gWJdDm2YvneZgy0+k0z/PtuSh0nxs3bqTTaeUnan7lcrl8Pt+uKrhKMASQUKSdzRLGCp7nc7mc" + "KIqdtvBFCGUymZ1XN7ulmEym1jUhSZJSqVSxWMSZO1t0IcJOAraX9u3bZ7FYeJ5PJpOG6NEIIWLj" + "6xA6bW4iqKFuNRtGipmZmVwuB6/8+PHjPT09NaY06KLPP//8uXPnisWiLMtDQ0Mf+tCH+vr6SCQn" + "QUNwVOe2qf80BxQDmqb9fj+kNmnbpXEga10XbawSWA3IBEAwNFBlp9OaMcgTi8USiUQHirc7QQit" + "rq6m02mLxRIOh+t1JiLsZkDNNpvNLpfLEIGTgiDwPE9Gnk6AuD8YkQY7eSgUghRolOoXrzTG2+12" + "t9tNIs1ayq7qjRDmdPHixZdffjmVSh0+fLi3t5dqS0gCaNf5fF4URbPZLIpi25yxEUKiKLpcLrPZ" + "zLJs7TkbbaC5GOBHABCrGcFwQKN1Op0N+3e0Lq81DC9DQ0NdXV0Q69GKqxDqgmXZoaGhUCjEMAzL" + "suSlENQAQ0Qul5Nl2eFw+Hy+lvpcNA8IvLi4ODU11eYCLoRqisXijRs3YFuFvAsD0aDT+OjoqNvt" + "hmX9m2++ubCwsO1bhx/CYSMjI8FgsJPHlx1AO3NxdQgIIfDfO3z4cDQabU9NF9gTPnv2bCKRCAaD" + "d999dzQabYObBui0+Xz+/Pnz6XQ6Eon09fVh49emx7fIRQ3U7HQ6XS6XOY6DjOutuBCB0DoYhmnM" + "v0OW5W17FjheNtwvYPurrroehNZB07TFYiH7BIR6QQg9++yzxWIxnU5DkHYnz5Uw1AQCgZ6eHhJf" + "pjsIIaxm6y0LoQ4a3M22WCwMw+CQttqLDFi7nD9/PpVKQfvo7e3lOK6xSxPUgBCCjFxana2TJwNq" + "Yz7o7e11uVzlcnlpaSmbzbZTZojNdjgcwWCwhq6rIXgLDnzU/X4/x3Gb3jI2oicSCc1t0nC2RCJx" + "9erVVCo1Pj4OBg4NL0HYbTSplLaZYrGYTCZLpVKNY2RZFgSh4eVR54/AuwR4C5DPlbwRQgOAA7aB" + "nMY9Hk8oFCJGJR3BpZ16e3utVmuHO0EQKmgkNpuiKEiBBiuhbUcK+Ek8HseZQnahSV7DKVll1lmn" + "06lh7egOzAxUDYRkI4Sy2WztVa/mgC1JluU2LL/wUo+m6UKhkMvlJEmqUYUevrJYLA6Ho/YA3bDk" + "sixD+W6yyaMhnd/jWoSyLEXnw7Ks3W7fqtljO9TMzAzY/up9rTgFmiRJbrcbXMd32wSqO3iABbeg" + "eDyez+d3bQ8lNAB020OHDlkslmw2u7i42OG72YQOAZQss9k8ODjYnl0cgoY0mAJtYGCA4zhQsyFz" + "TO1fGWgoaZGoGm5HCIKw7cYITdMul8tisWhyRciKqWNwTr3X1WsNmkgkVldX1XSH5p8kThjOcZya" + "6kdWqxWiuGtLVUMwNWKTbTcN2Z1xHxRFZTKZlZWVYrFoiLZUu2dBP7VarW6322Kx1KshQwO4ePHi" + "4uKiLMsHDx6snXCU0FJWVlYKhYLdbg8Gg21OdUnYGUAKNK/XOzw8bLVaSRMi1ABmwN7eXovFghCC" + "RThpM8aiQZcVCGODv+fm5nCQyVarIkEQYMkoy7LZbIZdtQZFbiUIoXK5rPnSVpKkbDYrCEKTq0b4" + "+fT09K1bt7ZVejXsigzDWK1WHR2cWvFStAWaNOxm1z4SNVceAyGUy+VwW5IkSaWjwbbre1EUa7dS" + "A/nx7gBgD7NtxeE6ilKphFNmGqLJbTvYut3u7u5uu93e2PlhAqUoymq1auijRFAPvOKpqalUKtXX" + "13fgwAG3203pZ9IlGA4YymD2Z1mW6NgENSCEnE4nwzBms9nv93em6kSoQYOKE1QDAr0rnU5ns1ns" + "tloB6N4zMzPz8/MQlOL3+w8cOOD3+9tcHFUN5XK5FbsosiwXi8Xm3SDhcXV3d3d1dcHGiBbSbY/F" + "YuE4rp1XVCLL8srKCi4g12mAVJCtgFKx6hIEIRaLFQqFxm5HFMX5+fl0Og09q1QqadK0KBWtNJlM" + "GmWDcQdQLpeffPLJ8+fPd7iBSVug+wSDwaGhIbfbvWO8o5usn4efQ5NGOkKTwG6BzWazWq1kJCTU" + "C0Lo9ddf53l+//79oVCIImYaQk2geVy/fr1UKvE8v7KyYoj4TYKS7X1Nq0EIuVyu7u5ul8uVTqch" + "z3CNg2maXlpayuVysFZgWbZjC5OYzeZwOKx5TkWWZcPhsFZLRq/X2/xJ6kLfl0XTdDgc7ti6KSCV" + "Gl0X+oIgCPF43Gq1OhyOBvx/TCZTNBq12+04BZpKp/FtsVgsoVAIBnHo1BWy1WjA8JUhEroYBZqm" + "oU6b3oLogMlkIjZ7QseSSCSSyaTeUhAMydzcnCiKgUCABNkStoWm6WKxiOvFZjKZFtWLIbSOutdw" + "kOfJYrEMDw87HA5Iv5ROp4vFIl76V3P16tVMJtO0tC2HpulWjH3a7snsttiMFr0UrYDX4XK5WJat" + "rWljxXh8fLxhUw7DMD6fT3lOyGqmSbC3IAjLy8uBQIDjuHK5DEYxLKfP59uqJSvd4QiawLLshz/8" + "Ycjqp7cshEYAfxPy+nYSMNDBqNvkm91t8zgBgCa0vLwsCAJpAIQayLLMMMz58+fn5+cFQYhGo5OT" + "k06nkzQbY9HIPAHDhNlsZhgGth1Onz69uLi41UIfnMbz+Tz8NxQKuVwukiqpYUgf6yjgdaRSqW0z" + "nwEaBrrjlOMURUGi74bbBj4VJFmgaTqZTKbTaaXptIbXq8lkwlGjpH1qAk3TdrtdqyyGhPajJjko" + "wYjgkhbNjHU8z+uYVZSgF+Cns7KygivvEAg1KBaLMI9oFR5IaDONrPXpjZpeLpcLspqdO3ducXGx" + "WnMAWz7P8/Pz84VCAT7cs2dPKBQiswsB2BmJtcBspHLV1Yr7zefzPM83rL2D5Ha7fXh4GGraB4NB" + "n8+3rdMy/NDj8QwPD0Mwbb2XJha3rSCPxaBAk15ZWZmbm9NbFkLjVA9N8N9YLDY9PQ2JKpS2zrrI" + "ZDIdm3CE0ArAF+zAgQMWi8Xj8ezOgCBCvWB3xfHx8a6urh2Tr2T30Hg/37NnD2TapCgqGAy63e5N" + "1QyEUCKRWFpayufz8G1XVxdxeyBgyuUyy7LGDcWUZZmm6fHxcY7jstmsGkW3Yxs/xAPD3yrfCCwT" + "0+l0w2WBi8Uiy7Jk27aajm0nhNrgIl5EiTI0CCFJkqr9w8vlsrLYHuSlqzc5RTAYJPksdhUwGvh8" + "PpPJlEgkiKsLoTYwj/j9fpvNxjCM3W5nWbauHR1CJ9D4EA8epPDKITZ7KysL3q6EFJ0TExMwwZCG" + "QpAkaXZ2NpPJGHc9CpKHw2GbzeZ2u71ery5Wao7jHA5H8z5Fjb0ISZKwa1O917p27VosFiNx3YQd" + "RiAQ6Onp0VsKQuMkEolYLJbP5yVJUi5tlUsX0LETiUQ+n69r8CRpF3YhCKFXXnmFeP8S1AAa1tjY" + "WF9fn9VqvXz5ciwW01soQt00PsqzLIvTOGUyGdCUNp1mJEnCa2iGYSwWi3G3LgmaY/SlBsh//fr1" + "bDZbLBYLhYIuM6ggCDVSqmy7yYwPaNj4hSv8qUFpkd2zZw/k4W/sugRCXbTNoldXjyB0FLA38LOf" + "/ewrX/nKCy+8AFXcldvX8K8oipIkLS0t/eu//uurr75KbIUEJZsONQ3X8iTsQsDBEPzGs9lsPp8n" + "g4zhaGTbDTaiR0ZGBgYGLl68mMlkisXiwsJCLpdzuVyUYqUOK+lbt25hj3FiwSUoMZlMw8PDhnZt" + "AMnX19dLpZIkSVAcXuVvm9RslSeB8vVbHQCe+TUuBMLbbLbGTGD13gLk/oFUcDj2hEBoA5p0OsJu" + "wOfznT17dnl52WKx3H777cpaKrIswxZ3IpH4u7/7u9/85jcjIyNkbUPAgBWmetqtkUmUQKgAV1el" + "tK5YRGgbDaZAgyWyx+NhGIZl2WKxeOPGjWQyWaFgwD729PR0Pp8He0x3d3dvby+O/yQQjG55gX2P" + "Y8eO+f3+esdBTdK/wRW9Xi+kLtv0Kqurq1v5NIIM09PTb731FnRhNSIpD4OKaxzHWa3WbZ8A/CqX" + "y+VyOajRve21CAStkCQpk8mQDM8ENRw+fDgUCr344ot///d//+yzzy4sLNjtdhjlZFleW1s7e/bs" + "N77xjZ/97Gd9fX0jIyMkmyMBgxDK5XJk75GgFWR4MSiNB5FC9g6sIC0vL6fT6d7eXnwAxCzxPJ9K" + "pURRhBq8999//+joKIngJ2CM3hhg4OM4zryB+tuJx+NOp9PhcDT/BGw2m8Vi2fRhMgwTCAS22s0G" + "xTgSiXAc53Q6KXUbfcViESqTwX9dLldXV5fdbt/2h3ByKP1N7PqENiPLsiAIJN8eoTZQr2tgYGB8" + "fPzMmTPPPffc9evXh4eHV1ZW0uk0QujcuXOXL19+8803l5eXy+Xy8ePHBwYGyGhGoDaWNJlM5he/" + "+MXDDz8M9ne9hSIQCPrQoJoNqsXAwIDD4chkMhRFXbhwYXp6enR01GKxwJiCECoUCs8999wLL7yQ" + "y+XK5XIwGDx+/HgoFCLODwTMzmgJEI9tt9vdbrf6FGgWi0UrVRM2pbdSpGsowPCTQCCg0t4Bff/G" + "jRt2u72/vx90+2QyOT09XSNBQwUkOwNBF1iWJQk4CWoAl70HHnjgpZdeunDhwuzs7K1bt/D49swz" + "z5TLZSh93NfXd+jQoUAgQO2U6YzQPDzPQ1iB3oI0jtG3QHYYZNoyKE2lRN6/f7/f719dXaUoanZ2" + "9ic/+cmePXtwqG0+n3/88cf/5V/+ZW5urlwum0ymoaGhPXv24B0wAmHHAMuvXC6XTqchIkvNrzwe" + "j1YCQAK2ZgZilT+EwyAQEUd/iKIoCIIoio1dmkBoD2SlQqiL48eP9/X1XblypVwuK22I+Xye2sh/" + "OTo6utVWNs71SFrd7gHedSgUOnHihDKevy50V3EhpUtd3nkEAqGaBmNioePdcccdd999N8dxkiSJ" + "ovjrX//6iSeemJ+fT6fTy8vLP/jBD7773e9ev369WCzKsuz1et/3vveNjY2RKcfQBk5CDXBeHJXH" + "a9IX4HI8z/M8T7WrdTmdTrvdjmNGWJa12+2gde/y3k0gaA7pU+0H/Ix6enoOHjzo8/mqx2pIUsMw" + "zOHDh/v7+zc9iSiKoJ+3RWRCB0HTtNPpbDjvjO5tRhTFWCxGWm8nACOP1Wolaa2MSIO72TDB+P3+" + "P//zP5+amnrppZfK5XI8Hv/e97535syZSCQyPT197ty5eDwuyzLLsjab7SMf+ciJEyfAsWqXA5t+" + "tTM/q4GkzMWA6bdcLutVjhIWYXa73eVytdkjGjZM1tbWlpeXS6VSm71FIBuQz+c7dOjQ66+/buhs" + "dgQCgYCRZZmm6fHxcZ/PF4/HKyYXmH+9Xu/o6Gh1/kv4dmVlJZ/PR6NRqKdAJuvdQyaTMZvN1YlX" + "VEZmzc/PRyIRm83WMgG3wWQycRwHqYv1koEAwLJq//79PT09ZIllOJpKgSbL8tjY2Hvf+97p6emV" + "lRWwfv3qV78ymUyQytVkMpnNZqvVeuzYsY9//ONDQ0PU7p5pQBtMpVIIIa/X26RDjlbq+o7h6tWr" + "8Gz1EgCSArb5ddAbpfLaeVEMNGlJkorFotFD0QiETgN6N4wqpHPpwpEjR3p6eqanpyvUbFjvHj16" + "9OjRo9VJ9WCBZDKZHA4HcfPZVciyzDDMq6++GgqFDhw4gNMVqYem6TfeeOOuu+6KRqN6uX8yDOPx" + "eEij7QRg5M/n85BMmrwUY9GUXQRe9ic/+cl3vOMdfr/fYrFYrVaEEJQONplMJpPJZrMdO3bsy1/+" + "8uTkJLXTZ5ptl0Fw+36/PxAINKNj41LJqVSKePVQGw+2p6fH4XDoIgBsKRcKhWw22+YQZRh2BwcH" + "R0ZG9PIpSqfTuts4CISdB4xs/f39HMdpUv+PoB5QpCcmJiYnJ71er3KBC1YPk8l0+PDhwcHBTbeY" + "eJ6HcDmbzbazVz4EJfCuDx8+PDo6Wr3Mgzq4257k3e9+dzgc1rcYB2m0HQKUhbt58+bi4iLZzDAc" + "GqjZXq/3i1/84he/+MUjR474fD6c8sFms/X29j7wwANf/epX77333t2QSqFYLEIgeu3DwADRzNOA" + "37pcLpfLRXazMX6/v+GMI5qgS2FDuGI8Hk+lUm2+NMZisXAcR1aTBEIrcLvdDofDZDKRBVabQQix" + "LDswMOByuaq/DYVCNXKM22y2rq4ul8tFRsVdBbzuaDTKcVyFRk3T9Nve9jabzaZcKmzaqaFEqPIT" + "KJHbmEhk3NgBiKJI3qMRYcG/peHfg0137969Q0ND73rXu06dOvXDH/7w7Nmz6XR6fHz8K1/5ytve" + "9rbdoAqC6+zs7KzJZOrv72+PvqFj3A5BCU3T4DKtlwAIoWw2CwXq21wTGHwj7Xb70NCQJgXACQQC" + "BiboK1euQEUP0r904fDhw5FIZHZ2Fn9C0zTLsgcOHBgdHd0qYIdEURqdZtJ9b/pbhNDrr79eKpWU" + "cyUU4qloRdU/53kewjDrFQn0c1JE07jgHU2v10veo+FgwMG7mVOApm21Wvfu3fvII498/vOf7+/v" + "p2k6EAj09vZ6PJ4dr2NTiipHg4ODSh2bGJ90oZ2PHRwTzp07l0gkdNnNBlfGcDgcjUbVl+zWClgN" + "pNPpS5cupdNp4tdKIGgOrpa342fSTgPP7N3d3Ti7JKx5fD7fBz7wgUOHDpGXslNpZjrbqlWsrKxI" + "khQOhy0WC86Tl81mK3aqq9PpQQqkBkTieT6ZTBJnY6NTKpWa19cI7YfR5J3BrANJxY8fPx6NRrG7" + "S6f17ZaqAVar1Wq1Vgyvm15OpT7WUY+OsCnQooaHh91uty7ZKaCRrK6uLi4ulsvlNl8dkCSpUCiU" + "SiVdrk4g7GxI2hu9oDdqIB84cACX9YIPg8EgWNUpYv7YoSSTSc1XjGAKTyQS5XIZty63272t70Mo" + "FGqsjIgu1n+ChsDrUxmUSug0GK38PPFJFhYWkskkzsvVaX5TPM+3J0MV3H4ikchkMtUdAyFULpe3" + "7TA6VqgyNKIoQi2WNlwL5rBwOKzeh1/bmRsE4Hle82R4dU3PNE13WmcnEAiEJgGHncnJSUhJBR9C" + "8jMonkLYqcCuiVYLCTjV+Pi4xWKB9Hjwuc1mq+0JjLXxUCjUQFofu90eCARIaS7jAi+OZVniMW5E" + "tE9jyPM8aIad2aWvXbuWSCTadrmzZ8/OzMwo9R+cmj8ej0PZsxo/X1lZSSQSRNNWDzzPmZmZ9fX1" + "VpxcEz22XC5rbutphYoLclbfMriuEAM5gUDY8cBK5vbbbx8aGgJdBSHkdrsnJyd7eno6c51D0ASX" + "y6VyYlWzNoADGvZ6a1jhJxZwowPvfWhoqKenh5hLDIeWfQ9GASih3rHZuXp6etxudxsuBD3hrrvu" + "2rt3r7JwIvzhdDqDwWC1h3kFoVCoWCwWCgWi0qgE231dLpfmD61UKuVyuU0ds1VOgSDS3NxcIpHo" + "ZOcfkHNpaWllZaX6fgVBEARB+XiJUyuBQNgUVJPahzV5XfXi1f4VQigUCh0/fjwYDEK2qv37909O" + "TjqdTkqnHQU1D7D9Uu0w1L/ZUqm0vr6+7Y4IQujq1avgLk5mTIJK8LK2Ikc9wRBonzAJ6vp0rPEs" + "HA6383IwDVfDMIyaR2Sz2aLRKDFf1YvP59O2gDb4DQqCUCwWzWZzdVa/uupbGiUpoNVqhbAFtJH1" + "FKvfgiD09vbihwx5d6FJG+LWCARCG0AIQQgPtcWsB/rGVmtHfDx8C0cqf1txLfwhnBCfHB+A1ZsK" + "/zLlh8pfwb+SJLEse+zYsXA4vLq6KstyT09PIBCAqzS/2sHSKu8LbZGqmvp9WwBIu6nRVo1gm166" + "+m/CVsDTu3Xr1pUrV975znduuwGey+U62cJO6FhIfzQoGqvZCKHl5eV4PC4IgrZnNiibzpR1UVE7" + "kaALtKJQeYUdGv5rtVrBZ6G2oRF+CKn4O9YURW3IGYlEYBFZcb8cx0mSBC0Tbtnv9+/fv/+NN97o" + "5JsiEAjtBCEky3KhUCgWixRFOZ3O6hxOtcdMHFyz6TRa8cMK/XmrXzWALMuiKHZ1dTmdTlEUaZoe" + "HR0NBoPVGV63nQI2pUKvrjBrVh+JgSO3WmaoCU2q+Dm+IlhOyabrtsDzGRgYiEQiamqkDw0NkUUd" + "oQHIJrZB0X43W5KkTssuriNkitILWOFpu0rYVIeES9x///1PPvnk/Py8GkN1i/JYaN7YNt16oijK" + "7/crL4c2qgyQ1q6G5k1vBIJRSKVSTz311NmzZ0VRPHLkyMjICMuyeNNYkiSO4ziOSyQSPM9X/3xg" + "YAD+EEUR7H2SJDEMk8vloK6H8uBMJoM/TKfT6XTa4/F4PJ5yuVwoFMxmM/giORwOWKJYLJZUKpXN" + "ZlmWtdvtcHJJkmw2G/jp8DwvCEKhUBBF0Waz3bx5M5fLgV565cqVU6dOjY6O4vqdCCGr1cqyrDK7" + "lRpomi6Xy+vr64FAIJ/P2+12k8nEMEwmk+E4TjkI0zSdSqVsNlsikRAEgaZpURQjkYjVal1eXq6+" + "KH56NS4tCMLS0pLb7YYnAOF+CCGHw+H1es1mM8m6pAabzaYmUhIs8jXcNwiErSAJcQyKxmo2TdPd" + "3d2hUMhisWh7ZgJBPSaTSZblYrFos9na1hTVlzRsnaLVHv1NeRW4l0wmc/nyZaib3QYBjA7RtAk7" + "HrC+/ed//uc//dM/LS4uyrL8ox/9CKoZKRs/x3GRSGRlZSWTyVSf5Pjx43B8Op22WCwMw5RKJYvF" + "sr6+7na7PR4P1thFUVxeXgalnabp+fn5tbW1UCgUjUYh4ajNZsvlcjabzev1lkqlcrns9/uXl5cT" + "iYTJZIKSK+Df7nA4fD4fQiiTyRSLRVydRJblfD4PV3zmmWeef/75np6eSCQCiqgoir29vV6vd25u" + "LpfLmUwmNdMBQshkMqXT6Vu3bu3ZsycWizkcDog1W11d7e/vB+MCuIWbTKaZmRmn07myssLzPHwY" + "iUR8Pt/09HR1ukp4ejUuzbJsIpGYnZ11Op1gWYhGo/CQJyYmTp48uX//flC/t70RghoQQteuXRME" + "Qc2+N4EAQL+Ox+M8z9cVn0joBLTfzd40cpVAaCcIoba1Q1mWGYZ54YUXrl69Cn9v+5NWSKXX4AuG" + "ea/XOz4+/sorr5COvy3pdNpqteJNMAJh5wGGpGw2+9xzz4EGSFFUoVCoPnJtbW12dnYrD7j//d//" + "VZ4Qx0JXG6oYhpEkCT6EvxFCsVjs0qVLFEXh+o4QrQP7QiaTaavrwjBebTRkWRY8fkulUqFQWF9f" + "VwZ7WywWk8kkCAKWRA1YWjBGKL+aPW05twAAIABJREFUmpqiFF5FsizDFKM8bH193WQybeofjp9e" + "DSqueOHCBfjw1KlTL7/88je+8Y13vOMdyhyuhCYhG5KEeoFOOjMzs7S0tGfPHqJhGQvt1WyKjCME" + "vYHlyLaJ3DUBLqGjcx2s8ziOCwQCugR9wcqP4ziz2UxG/xqAbjA7O+v3+3t7e8mzIuxsRFHExSxN" + "JpPT6QQNGR/AsiysIM1msyiKoJ3iDWqTyZTP52VZxlor9CBYZTIMA0UQGIYRRRE2XWE7GtTCcrlc" + "KpVMJpPZbBYEwWw2wwAFJ4FzYt2b2th+h2TRMJCCcl4hM4amaZ7nlU5MpVJJeTa4QYRQjQTU4B8O" + "klfESMNmNfZylyQJbhP8sypC0KH6g91uh01veDj5fL72C6q2VuBIK57nz5w582//9m+HDh2CguFk" + "vGoSeLCHDh2yWCzEaZxQL5CPVm8pCHXTEjWbQOgQ2qNm0zR99913j4yMTE9Pt99rGibsfD6fTCbL" + "5XJ1kqG2iaHLdQ0EtMZ9+/apLDRAIBgUXJIAB5L4fL7bb789EongjWWEUCQSyeVyDMMMDg4uLCwk" + "EgllvwiHw88880yhUMhmswzDmEymYrFosVj8fr/D4bDZbLFYDCHkdDrX19cnJiZsNtvq6mo+nx8d" + "HXU6ndPT09euXfN6vYODg9euXevv7x8dHcV69cLCgtPp9Hg8eAO8UCjE43HwXQdv7UQi4fP5nE4n" + "lll5gzRNnz59+saNG1jTrp5uwuEwz/OJRGKrB+X3+10ulyAIKysrSk0b/xsKhSYnJ/P5fCwWS6fT" + "fX19e/fuVZ5BlmWbzXbp0qW5ubn9+/fzPO/3+z0ez40bN1588cXar0lpEVB+QlEUOL3ncjk1edQI" + "6uE4joS7ExqA2LkMClGzCYSmgBXYU089df78eXBEbNulYXk0NjbGcRzP8w6Hg8zfm7LVIhgzMzPD" + "cZzf72/DTKaXHYSwA5AkCRyeO3zJBT1uamoqkUjAlnUkEvmzP/uzBx54oK590W9+85utFHPnA03l" + "6tWrAwMDN2/eTCaTk5OTkKyLpulLly6NjIzMzs4ODg5OT08//fTTXq93cXHxH//xH8HcgDf8CVrR" + "29tLpmkCoaNo6ZRK1GzCDmSX7KzCuJDJZMrlMvjJ637juguwKduOoYODgxSxFhM6GISQKIoXLlxY" + "WloaGxszRFu12+2Q86x6N5jQHuCxj4+P0zQ9MTFB/X79CPCsgW/37ds3NDRksVjOnz///e9/P5VK" + "6SY0gUDYDDKKtohtd2KaoSWOi6QpEPSlM5W9VkDT9OrqKpSQ2T13XS/pdDqTydSIayKbNoTOBJql" + "yWSCHTCHw2GIfFSwZT0wMMBxHN5+J71ML7BjfEWsCvwXO6jbbDaTyeT1ercKRycQCHpBBs/Wsbq6" + "Cpk+WoH2arbmxYoJBPXA4iCZTBYKhV3SCHGGIZPJpO8tow10lGFTVlZW4vF4dcEbTAfKTCBQinj+" + "cDhsMpkGBgYCgYDeQm0PxGMvLi5C6DVN08FgkOM4veXapcD4Vj0448+pjQxw4DShi5AEAmFTYBZw" + "uVwul4tEHLSCmZkZSGDZClriNE4S/BDaAKzkKoqdwHhksVggxauhNW01fix42VQsFvP5vCRJ4KWp" + "F/Pz88lkstMee19fH8MwNRKhd5rABIISnuehTNTS0lIqlep8fbUigzdFUU6nk2Ql0Avl69jqc+xu" + "QBZvhJ2NQVeGVqsVqgwQNGdiYsLhcLTo5FqOp1Aqg2XZO+64w+/3a3hmAqEaqHGCLfHZbBab4WEx" + "asSRVEmN3VdAuUcBxR703ZWFGjwdaG11Op12u50sHwmGA3o0brpQmVlXieqA4zisWmezWWXtKwJh" + "d0K6gO4YbmUI4393d7fb7SbtpxV4PJ7WTawtWXfef//9kUikFWcmEKgNxXJ1dTUWi5VKJew1jWuu" + "6q5wakI8Hi8Wi1t9K0lSPp/H8SQdUte0q6urA2eCznRlJxC2BTYY/X6/1+u1WCztrxfYGCB2V1cX" + "x3HQ+wqFgiAInTBGEQg6ks1mjdKLdyQ8zxvuFcDqBUoe6i3LzqSl68OWqNlPPvnk4uJiK85MIAA0" + "TYOXOP7E6XRir+Dh4eFAIGB0zcrhcNTwAJckqaKoaSfcL8uyHbhpTLJFEAwK6KiXL19eWloql8tr" + "a2vZbFZvobYHxJ6amorH42AB3Lt3bzQa1VsuAkFnstlsJ8zUuxB47CsrKzdu3MDbM4YAFrqrq6uZ" + "TMZYBgJD0OogAi3DOEFWSZLOnj1LjC6E1gFdoquri6IolmWVPQSGzmKxWC6Xja5Z1Y7ANJvNfr9f" + "30jsChBCO8OPgEDoEGBWTaVSxWKRYZjR0dFQKKS3UNuDU1GCPw7DMD6fr3XBbwSCUQiFQgaK+9hJ" + "wIIwFAp5vV4j5omoWOsStKJcLrd0f6gl59U94zFhN2A2m6uTWuH6N3qVJGnbRWmatlqtnTNhw43f" + "unUrHo+T7k8gaAJ0JbfbbbVaaZr2eDwOh8MQ/YumaVjOQgprURTJPgyBYLPZ9BZhV+NwODweT4cE" + "2dUFiX3THOzgUCwWW/dsO869k0BQSY1Bx+Vy6WitbNtQ2FHDLkxakOjIcBMYgdCZQFeamJiIRqM0" + "TUOmQ72F2p7q1NadM1IRCDpiiP67syFjEQGAGYrjuBplaJqn5Wo2MWATWkR1wC3+b6lU0qX4pyiK" + "OF66PUN5Rym0NE3v2bMnEomQaYxA0Ao80HWUWU0NkGncWDITCISdTUetmgi64/F4WlopTXs1W5Zl" + "mFbxHwRC24Al6cWLFxcXF9s/mIqiCGHh7bxo58wZCCGn02mxWEjHJxA0AVTr+fn5eDwuiuL09PTa" + "2ppR+pfSLpBKpQqFgr7yEAgEAoHQTrRXsxmGsVqtLMveuHEjFouROG1CO4HGBrvZ7W94VqvV5/NB" + "8FU7r94JXQzW05cuXVpaWmqRPIbbzSMQmgRXxgoEAhaLZWlpKZVKdX5HUMa8MQzDMMzU1NTS0lKH" + "i00gtA68/6S3IA1COi+B0ADaq9mlUikSidhstlKp1OZtvfZDxp2Owuv19vb2ut3uI0eO9PX1tf/t" + "0DRtsViaz1hYl+RYp+2EslXxeDybzbZIDOIgQ9htgEY9MzOztrZWLpcN1AUQQqIo4kjUYrEoCIK+" + "IhEI+oIQunDhAhSQByBBoN5yqYJkMSQQGkBLNRtX+HzzzTczmczIyEhXV5dR1gQNgDbQWxACBdOV" + "xWLp6emx2+2BQMDhcBg607jK8yCEwuGwzWbTXccGgVuUwBPXB8rlcmSmJ+weoOXH4/F8Pi/Lsu52" + "NJXAcBQKhWAclmU5HA57PB6jyE8gaA50CqgUgFePYIoyxDJSEIRyuWwIUQmEzkH73Wy73V4qlUql" + "ktPphGzPO7VbQr4rMu50CDRNJxKJ2dnZUqnU1dXldrvbtqSjaTqfz4uiaLVaoe5Ow6fCdb8LhcK2" + "+iRCSBAEm80GRf/0WsLCooFqZU+HW2NZlgShEHYhhmv2MByNj48Hg0FQJ/r6+gKBgN5yEQg6MzIy" + "Yjab4W+aplOpVCqV6nwvFUmSnnvuudnZWVEUO1xUAqGj0FLNBlvd8PBwNBq12Ww7fqdXluVisUjK" + "M3QC8Baef/75t956SxAEqNfankvjaHBZloeGhvr6+pp3Gi+VSoIgKJtWdVdCCNE0vba29tprr8Xj" + "8a6urt7eXovF0ublOIiBEEqn06Iout1uu93eomtxHGeUosGasLPHT4J6lJOpgdo/2MUoikIIXb9+" + "PRaLkSZtRCRJKpVK5N1pAtaxAYfD4XQ6DVHG+ezZs6VSSW8pdiOd3zYINdB+N3t9fT2Tyez4qGyK" + "osxms8/na3L3kqAVCKF4PM7zvCAI09PTyWSybdelKIrjOJZlnU5nk0omtCWXywUnxJ9vtbPtdDrh" + "sEAg4PV6KZ10s1Qqdf369XQ6PTo62rqCXoZYi2iIMrSVQDAQsDt37dq1tbU1mqYZhkmlUvl8Xm+5" + "CNsDsQnKyueiKMZiMeK4pwkV1nOn02kI2zFN03/yJ38yOjrKsmznS7vDIA/c0GipZsPMChVHdsOI" + "zDCMJvmudifVzg7NNxiz2cwwjMPhGBkZ8fl87RmboAHMz88XCgWt9CKz2VzhJrq+vl7xfOBbh8Ph" + "9/uxrUevTocrmTkcDrPZvOP7fquBB5hIJDKZDIlF381Av85kMpA/zO12d0Iihm0B8aLRqNvthqHe" + "YrGQBXqHo8ymqfyDZdlwOGw2m8nrax6DPkNJkrxeb0vLCxMIOxLtVURBEHbPutCg6kQniC3LckU8" + "UvNRBnDCQqGwtrZWKBTac5uw5J2bm8vn8+VyWRTF5s9ZLXm13QqXzIFL6/5OYc/KKNlc9ELlw4Gl" + "GHjgG3RZRtCQ8+fPJxIJhmEmJia6uro6v0lAO4/FYrlcjqZpk8k0Ojra3d2tt1yE7XE4HC6Xi6Io" + "hBAYkU0mU8MDEZkOKoAH0vmWsgrAWc9wYu8MotGoGiOXgbLW7ypaUjd79/RDI94pdEXdJ79sNpvJ" + "ZEApBWFyuRzP880LVi6XFxYWMplMO+8R8oLEYrFEItF8q6g+Qzgc3tRvwufz+Xy+9odkVwPtSncx" + "OhxRFNV7+jgcDti6bLVUhI4F3j7k2mQYxul02mw2vYXaHrCZLi0tZbNZqJvd09Pj8Xj0lotQCxiX" + "Ll26hGfP0dHRJtsb2NO1kc/gKOtmG84LEnKkG05sowNr9UOHDkGm29qLAUEQBEHQfW1PqED7PkPe" + "cceCs1ivra1potA2LAZC6He/+91vfvObRCKBxYC8X5pI1X7NJBgMWiwWh8MBVn/NBah21oJLWCwW" + "t9tdkVWF0IHgmmSQK05vcQhGAoeQyLJslHB9XIDA4XB4vd7BwUG/309sRp0M+CK9+eab+XweZrH9" + "+/dD5HADLw5HvhSLRbIsBBBCb7zxRqlUggdrrMdiLGl3DAihbDZb21YFr2Z5efnmzZuQjrdd0hG2" + "h93+EMLOQpKkTnDsHxoaYlkWnNMAqKpquHWYJEkMw4yPj3s8nkKhgJcUrb4R7JZ569YtqKnbIbOg" + "4d5ge4DHwnEcTdPK5HYEQl0YpX/h4UiWZZIu1ECAsRveVPPx2OSNVzA9PV0ul4eGhnA5HqM8IqPI" + "uWOAqA2e51955ZV8Pu9wOKxWaw2HArfbbbVaDVf9ccfTwtUeedOdBrwRm80WCAR0dDOmabpcLh86" + "dIhhGHCgBUlSqRRFUc2k2dDljuCiPM9LklQsFsFNoD2S0DQdDAZDoRD269O90+kuQIdjCI9fQqdh" + "RPsjpRgby+Xy1atX19fXw+EwRUaJzka5TG/GHA8n8fv9FHnjBEL9gJp9+fLl69evF4vFBx54oK+v" + "b9O5AD4JBAJ6iEnYBhJoseswm81Op1MvN2PY4kilUuAGE4vF1tfXYSf21q1bp0+fXltba3hqb+li" + "dKvtYmwjEAShv7+/q6urRQJUXxch5HA43G63yWRKJpOQbag9V98UyL1PtmoJBG3BESKwWaG3OPWB" + "EBIEIZ1O6xipRGiM5icUiMzXRBgCYRcCa0uE0N69e3HhBr2FItSBlgtiGJEhBRqGIoZMggK8XgRl" + "LJ1OC4JgtVrdbvfY2JjH45FluVwud0JOrwokSdrUGwc+SSaTpVIJvHrgc+wP1qIbgZPzPJ/P5yVJ" + "EkURMpDpMgTDQoqm6b6+PnD+b78MBMLOA7r58PCw2+12Op29vb1GdL0GA5zhDAS7DRjGDx48aLFY" + "wNjd/GxiIKfo9gCPlGhKBJXgwI2pqalCoeDz+fSWiFAfWloZoSkMDw/7/X6WZScmJsBDjECoACoV" + "MQyTSCRw4mWPxzM4OBgMBisSKqqvgdTV1WW321shsCRJUJymWhjlrKncUm5PsiJJksrlsizLpVKp" + "UCjokh6Jpmmn0wnlu202GymvSiBoS29vr8vlSqVSsVisVCq1eY2Oi1M0dt1yuWy32/v7+30+X0d5" + "v9dVdKO6AmVjdE4SjWrg7YTDYTCIGDQdqSFYX1+HRJjk+RBqg7thJpMxSv5LghIt1WzsD1woFGBv" + "jRgyCZsiCAJUwDp9+vT3vve9U6dOgT9hIpGQZbli00NNRRBoZk6nU6sNk4o1pSRJ6XS6VCptdelc" + "LieK4vz8/OLioiRJNE1ns1ns7aOJSNXXRQjZ7XaXy8WyrCiKemW2QwgVi8V8Pi8Iwvz8fCqV6th1" + "JIFgRC5cuBCPx9PpdEURxLYBwwue1uv6rclk4nk+lUoVi0Wqk/bx6jIc7J6VjHIOhbIInfPKdgDQ" + "kPBDJs+WoBKS28ygaK9mr6+vQ7Ll69evx+NxMojsWjZ99bCymZ6eXl1dlWX5Pe95z6c//em+vj7Y" + "03Y4HMrIXmy42TaoD28mC4KgifA8zyurLpnN5uHhYa/Xu9UwB/Ira5JZrVa4ndaNjDRNMwwDlzCb" + "zRaLRa8ouHK5XCqVRFFMp9OkfAuBoBUweqyurvI8z7KsLiuteDw+Pz+/srICmjZWtlV2c4ZhJElK" + "JBKFQqGjRob19fUbN27gGtFbAd8uLCzcvHmzUChs+q1KBEGAWuId9RwqgGkOLLY3btwA43InC2xE" + "vF4viaEgEHYD2sdmW61WWPeXy2XwcCB72juJuqpVQbRwxcE0TXMc53Q6aZoeHx8fHx8vl8sMw4ii" + "6HK5lIoi9sfedo6HS+zduxf8EmsIv63kcMzU1FQ4HO7q6sL5BRwOx7YC4EUw7DPXvlDzKG8nEomE" + "QqFWX3Er4C2TVDcEQivQax8DBvBXX331P/7jP0wm0wc+8IHbbrstHA5DBgqapkEwNbJ1lLs43NfZ" + "s2cff/zxEydOPPTQQ9se//jjjwuC8MgjjwwNDeF7gcggk8lUPfQp5yycMuPWrVvf+ta33vWudz38" + "8MPKbzW7MS1QmoYHBgag8EenCWl0yM4kgbBL0F7NPnDgQG9v7+XLlztqWiVoBRi5IdHdVseA+lcs" + "FkVRtNvtymkb/ujp6cHqKEIIfJ7X1ta8Xq/D4cAHwwrG5/PVvhw+LZRmrS28ylN1d3eDIaDippQP" + "QdnCwXO7XC7XOHNLAfOWXlenNgIddRSAQCC0gtHRUZ7nX3755VdeeeXYsWPvf//7Jycno9Eox3Gg" + "PWI90ygzPgzm+Xx+ampqdXW19pGyLIui+NBDD9E0HQwGqY3bRAhJksTzPHgS4ekAfiJJEtTjAeCK" + "c3Nzb7zxxt69e0VRxJuZ9Aatv29VQLEPk8mEELp48WKxWHQ6nQbaLDGEqMQ7gEDYJWi8LqdpGjQl" + "DVNoEDoEmL0gEMDn822b6QrC+TYtFIyXI+AJaTabTSaT1+s1m83K3fJkMulyuWpvIytlKxQKNRRd" + "hFC5XIZrbXvC6p1h5c3CVeAJSJLEMMzBgwd9Pt/a2ppeE3wnZ9YhEAhGhGEYWZbHxsY++clPLi0t" + "Xbhw4emnn37jjTcGBgbuu+++d73rXXv27PF6vaBksixrLGXbZDLVDrTBaSx5nt+7d29FPK0syzdv" + "3nz99dePHDkyNjYGMwtCCKKNRFEsl8tWqxWeoSzLLMteunQpHo9TiqURnkE6wYUYsoq89tprMC+L" + "ori0tKRVHFZ7IJMggUDoKLTf/mosS0or0FyMTl49aCVbDZ9w+CqfzyOE3G43y7JbHQyfuFwuMOdv" + "dTaEUDwe5zgO1mdOpxPyZmMF3mw2432AbcWmafrmzZvpdHqrl87z/KVLl/r6+sLhcMOPC04+MzOD" + "EOru7na73eB/6Ha79SpFDgiCoO96iDiNEwitBm1Aa1S6b9uREA74wz/8w6mpqWQyOT8/v7y8vLS0" + "dPXq1Z///OdHjx79gz/4g9tvv727u5vjONAzWZbF26HNS9g6ajvgwGZ1LBZbXFx84403Dh48aLVa" + "LRbLyMgIx3EURWUymaeeeuqnP/3pyZMnvV5vMBh0OBwIIUEQVldXT58+PTMzs2/fvsHBwUgk4vF4" + "KIoaGxvzer3FYnFxcREyxdI07XK5wuGwy+XSfYEBnsyHDx+2WCxgX/D7/frOa/XC83ypVOI4rqMc" + "BJRApwBnB71lIRAILUd7NbulS2317kCtKKfUycn0QclR//DBvl4RUitJEiw7lMFmSmsFTdORSAQW" + "B7gQFxyJj8FJuWoIAwcXi0W8+QxvFirWdHd3QxYWqMCsMvCPoiiHw7HpmgAul0gkFhcXA4EA1bRf" + "mSzLi4uLpVJpdHQUQtfAutTwCZsBlt3z8/Nzc3OiKII8ukCcxgmEVoAHK+jsMBNtNcDC2F5jOlD2" + "061iRCt0AIvF8ulPf3p5efnHP/5xIpGQJCmVSoHW/fzzz+/Zs+f2229/6KGHxsbGPB4P9mCqK5W3" + "XmyVqpOiqJs3b37zm9+cmpqSJOljH/vYmTNnLl269JnPfOaP//iP7Xb7U0899V//9V9Xrlz5/ve/" + "f/78+T/90z+dnJzM5XK//e1vf/CDH1y+fLlUKjkcDrvd/sEPfvDkyZP9/f19fX1ut/v//u//Tp06" + "tbCwAEm8u7u7P/vZzz744IMtzZepnqGhIbPZDPO+3W7vBJHUAHP6+vr6+vr6vn37aoeP6Qg0rXPn" + "zhUKhWAwaJTHSyAQGkPPYM4GKJfL2DOtNsVicWVlZdMKTA3TsXXhEUI2mw1ybqlf1uRyObPZbLPZ" + "8KqrWCwWi0WTyQSRxvAhOL/BCsBkMpnN5lKpVCqVwNuNoii73Q7+2KBa2+12WLrVUGXhW7PZ3NXV" + "BQ6HIPbs7OzCwoLX6/V6vbATrvJecGz2VkomTdOBQODee+91uVxUE5v/IOrQ0FCpVLLZbHWZNloE" + "WCICgUA4HNZFGJqmLRYLtnGQdQOBoC3Qx+UN8IZY9WEURcmyzPO8xWLBDkcViKKIbaPgJ7zpFSmF" + "OVKSJL/f/9GPfvT06dNra2v4c57ni8ViLBYDf/KJiYk777zznnvu2bNnD8dxxWLRuKY3mqafeOKJ" + "119//X3ve9/9998/MjIiSdIrr7zyzDPP3HHHHf39/evr66VSyWQyFYtFmEkRQr/85S+//e1vl0ql" + "j3/843v27JFl+Xe/+92Pf/xjsFNAzcVXX311z549Dz744Pj4eCwW+/GPf/zP//zPk5OToVBo29Qh" + "bQAXy6BpOpFIKCtudDLw3EKhEMdxOtqaVbIbdrMNESRvIHZ8g9mpGEzNXltb4zjO7XbXOAY2aV98" + "8cXvfOc7CwsLWjnXURT19re/XflfvBDZ9OCK7FlUy9QP2FgeGho6dOgQzPRqfiKK4muvveb1esfG" + "xiwWC/j4Xbhw4cqVKxzH3XbbbX6/X5Zls9m8vLy8vr4OUxeET7/66qvZbJZl2VQqRVHU2NhYuVxO" + "JpOgYx86dGhyctLv928buQ05z7BmiBACHRtc8hp4XHa7fdOIcfjEZrNtGijeAAzDDA8P22w2eHTV" + "B7TojUMynk0tTYFAQPkw2wb0L5fLBRs1ZFolNENLh0rj4vF4QHN46623XnjhBZ/PB9Nc9ZEMwxQK" + "hampqe7u7lAotOl0EIvFOI6TZblYLA4PDweDQeVjh8UxKPMMw+RyOZZlrVYrQigQCHR3dzscDggd" + "wjFBCKF0Op1Op2/cuPHCCy/09PQ89NBD9913329/+1so3NiZyjbLsoIg5HI5ZaQSADcVjUYfffTR" + "hx9+eGBgQJKkj370o6dOnTp37tzU1NTo6OiJEycymcxPfvKTxx577OTJk36/P5fLPfnkk2tra1/6" + "0pc+/vGPQzrPO+6448tf/rLSdcvn833qU5969NFHPR4PlEL84Q9/eOvWLR1LRShRTiJY5TYKGs7y" + "LQKa2f79+x0Oh5qcrMYF1ira3t1uVt1139EhNIbB1GzIvFX7GJggX3zxxTNnzqysrMCHmkwVs7Oz" + "VFWqbYjvUnYA7Hddfd2KLQKtguskSXI4HE6nEyKZax9MbfjyZbNZk8kESwG8osrn8yzLQrw0Qshk" + "MkEtaFDtYNDMZDKwkbLpbnYoFPr617/+3ve+t3aONJZlvV6vMjk2TdN33XWXzWarSPG9KRWjLfz3" + "ypUryWSy4glUP5CKt1AX8Kvp6Wme50dGRrCFouIYyJGu4XwA1+V5Pp1Oezyeal8+vRIigGC5XG5h" + "YQEW3+2XgbBj2M2rqBp8+MMffumll5LJ5HPPPXfmzBllkmp8jHLnGXazld4luGOijUyQoP329/dj" + "bVw5MOI6EfF4HGaEUqmUz+dnZmaUhZRxzQWYAUVRBK/dubm5H/3oR+l0GsyvnTksQEHvdDpdKpUg" + "+2bF83z44YfT6fTa2trPfvazQqFQKpXm5uay2SxkCItEIvv373/22Wej0Sjk+zh9+vT8/PzExMTk" + "5CS4idE0PTY29jd/8zcWi8Xv98fjcZqmJycn77vvPrfbDW5i991339NPP62t551WGK4zGsVOl8vl" + "cN9pzxXbP7SurKyEw2FtPQt25wQBt3zo0CGn06m3LIS6MZiavW3SaeiEpVJpamoK1EiKonAaz9r9" + "EyEEjnaSJEE2KYQQ1EmSJMlsNkN6LcBkMplMJghmxkY77IxHbaShhr8hcBf/BH6u7WABkXIQ61Xj" + "MLgXHFNdETsHbuGyLMM29VZgh0N4qtlsFj6Hhy8IQjwe33b7gqbpQqGQzWYjkQjWq8PhsJqbhZUi" + "3tSFu6BpemlpqVAoVB+/qc24XC7DG1FzxQrW19cd/x977x0kR3knfndP7J6cw+7sbNBGpZVQQBJC" + "IJLBSMY4AGUwcC5zvjNO5zrDna/q7Cv/yulslw2+ow777KNsY2w4CoPAEkEgZBuQsCSE0mpz0uzk" + "nLp7pt8/vrfP28zsjmZ38szz+UO1mul5+umnu5/n+WaFYskCWqCzAPu/sDhZiZCLRelRXt+yNFsW" + "eJ5Pp9Ow+ySwaxOmBGKxmEwmq3N7VDWB+QQkt/Hx8UgkEggEIC8GOCpDQQexWMxxHCw6LMtSFAX+" + "yZBFMpPJgHtzJpNBRRaz2SyF99jVAAAgAElEQVTLsqdOnYIWYJWEVQx+SBAEOAyDOlUqlcLPc7qH" + "/kVzLEmSoVAoEAhUf7hWBIyYXq+nKCpnRoU1JRKJPPLII4cPH4aqXTzPu91u2CTAmiIWi1mWTSaT" + "DMPIZDK3251MJgcGBqxWKyGo+Lhu3Tqh94FKpUJCOEEQVqu1bkOgG24yr89hzAdtw6omN5ay4Vkd" + "FEVBHsQVBQAWIJPJRCIRjUbTxC4A+UBkIuxvGyvtPwZoMDG7SEBOZlkW9gdKpbKrq6twZDVsL8xm" + "c2dnp8/nu3TpEuxCenp6rFZrKBRyOp3PP/98NBqNxWIkSdpsNq1Wm0gkkslkNBpVq9VisTgcDstk" + "MrAkmM1mMAjzPB+LxRiGoWlaqVRCJkylUrlc4NwqIEkyGo2CqFOgohVJkhqNBjpQlvOiZmH6AymL" + "Zdm5ubloNApazCVnQ9hhjI6Onjx58vrrrx8YGFiRhTmdTvv9fr1eD7sT2PmJRCJwaBQeyfM8uAWq" + "VCq5XC48i9/vVygUkJJ0RRdLEERHRwcyKeesH9C4SqW6bMGzVSAWiyECv94AT4d6qEmDaWhSqRR+" + "inKAaeSuu+7q7OwcHR2dmpoKh8MMwxgMhq1bt7rd7qmpKZqmg8Gg1WqVy+Uul2vDhg1isTgYDHZ0" + "dOh0Or/ff+bMGYPB4PF4YrHYpk2bOjo6QqHQ1NSU3+8Ph8Mul4uiKHBiCofDkUjEZDJJJBKPx0Mu" + "ZtnQ6/XT09MulwstW6Qg72bOWqZWqxUKRSKRiEQi1R+xIgFfpNnZ2WAwaDQakVQM1+L1er/zne+8" + "/PLLW7duveWWWxwOh0QiefTRR999912WZWHjK5fLGYaZmZmJxWIwYvkzIcdxsA9BZj1QZDRW8TNM" + "uYCny+/3gwNgFR4AeH89Ho9arVar1VXzPYZ3CukES79SjuMSiYRKpWoR92kYse7ubqPRODc353a7" + "sZjdiDSnmA3LP0xhPM/v3r37C1/4Qk9PT4H3HFT+NE2r1epUKhWPx8ELF6SpdDqtVqv37dvn8/mC" + "waBYLO7s7NTpdCCyRiIRmLyi0ahUKo1EIgqFwmw2g2MeQRBIzKZpGgovgS20XBFr4O+dSqUKZH0D" + "y7zZbA4Gg0uafFcHz/MQpP3YY4+dOnUKzP5KpbKwpxDcmu7uboPB0NbWhu5LkRMxOOChU4RCIZIk" + "tVptd3c3ZDjLOVGO7wD8rdVqVx041N7eXsAfgSTJwukDSiFfE1EnGzW0Y6iT/mAaEb1ej8XsJdm4" + "cePQ0FAikQiHw6BBpijKbDaDT5BEIoG81mKxOJFIQGqMdDoN6sVUKhUIBORyOUQIm0wmlUrFMAx4" + "oDAM43K5FAoFKIsjkUgsFtPr9RKJxO12gz0KrOXf/OY3PR4P8cHqEjKZTKPRCBM7KxSKdevWXXPN" + "NS+//PKRI0eQf2y9AZcWiUTi8TjklSAXc8jJZLLTp08fO3Zs9+7dDz30EBTNDgaDUPxC2AJBEOBP" + "zvP8hg0bbDbb6dOnp6amdDodsaiDPnnyZDKZ3LhxI7E4T+asR3jObB3IxVRt4B9RBWs2tA+12ar/" + "pLndbo7j2traSj87Ml+1yPsCz0YkEolGo+Aq2yIX3mQ0m5gNcrVcLu/u7tZoNMFgMJPJ7Nix48or" + "r4Rlr5gWCIFuXvhYb9q0SXjkkuHBwv8W0355qdVLyPP8kSNHLl68GIlEtFrtmjVrCitNoZ92u91u" + "t6/idJBrDf0XLNiQIB2ZrBEQo5izlQH16qo39DkJAsi8NHuVWzvrcJ4FS1dPT89KXQMwmBwaq0hv" + "NQGFplar1Wq1wtmGoiiDwQB/5/gEIWUfTdPt7e2oHVKQEhKaWrNmDZG36vE8PzAwAMefPXv2Jz/5" + "yYULF0iSlEgkkBQNBOyenp59+/atX78epSMB+d9isdA0ff78+TJqdcsLXFo8Hne5XKgCKIyzxWIR" + "i8WQQITjOI/HwzDM008//fbbb4MQDguKWq0G/QK403d0dOzateuZZ5554403NBqNyWTKZrN//etf" + "H330UbVa/U//9E/weOevjGUPIsPUOW63u2rWbOCyEZcVgi9YnX5FkCRZ/znky47X600mkw0XviGk" + "NSPqEc0mZhMEAXEg7e3tKMAPhZwtqQ3K31ss+e1y5yr+4OKPWTW1ehVh7wUDCEW/ivTqQbW7Szm7" + "UqlE0eb5TS3ZfjqdDofDGo0mPyrvsuQkWYE/AoGAMDNQ6wAqBolEsnXr1pdffrmVJ1MMpnLAi1Z4" + "wyrMc1bMYct9ImwHsjmePHny5MmT2WzWZrM5nU6LxbJ27dqhoaHu7u62tjaLxZITTg/7KpQgvd6A" + "aUoikdA0/frrr4+OjioUCrhqjuM0Gs1XvvKVbdu2bdu27eDBg++//35/f38oFDpz5gxkoESL3dDQ" + "kM1mO3PmzLFjx6666iqTyfS3f/u38Xj8mWeeOXDgwI4dOziO+9Of/pTNZj/+8Y+vWbPG5XLJZLIc" + "d3FQELeIHywGOY1zHNfcyyVcnc1mK5fTONHyAlsjAplBoPxtrftSG5pQzIaXMBQK5UQpQ8KqJcVs" + "eHWLeXuXtHLnH1NDq3LhA/LtrpXoQ/GnyBFZ878tciThyFAolEqlijm7SCSSy+Wrc8JZUjUArRFV" + "tzbzPA+11mrreSgs54vBYCpBTd5xOOmNN96YTqcTiURfX5/T6VSpVEajEVWpyJ/zIS0opAitcoeL" + "AYZx8+bNd95559NPPx0Oh6VSqcPhoCiKZVm1Wq1SqTQazRe/+EWKol599dU33nijs7Pzvvvus1gs" + "2WwW3L8JgjAajTt27PjVr371zW9+84EHHrj77rstFsuDDz7Y0dHx/PPPHzx4UCqVbtiw4eMf//g1" + "11yj0+koitqxY4dOp9NqtcTi2Dqdzk9/+tPC4KmaUz89aVYSiUSjFCQvkfI6KLXgk9noQSXpdDoY" + "DEKURENfyKopv5hd82UVJC6UCJq8XLwov1jgpJgnoFzHVIiadA8G3Gq1UhQVDoeFH172t4FAQKlU" + "FnBnKrIdfrHOFkp7XhipVJoTZVcMcJZgMCiTyZD9AfaXkPNsRa2VDvTHbrfXMC8aXH4oFDp48CD4" + "XmJhG4NpGsjFbNh33303eCoh3SiyrudvBMGDrG43iNArvV5///3333zzzQzDUBSlUqnQngGWpP7+" + "/n/5l3+5//774/G4wWBwOBw0TeeoWT/zmc8MDQ2Njo5u374dqpG3t7d/9rOf3b9///z8vEQi6ejo" + "MJlMUNeDoqgHH3xQIpHo9Xpk26Fp+iMf+YhYLK65tQf5o4HeFs/klaN1xrawKQVTDA09enK53Gg0" + "tqyMTVRCzE4mk6lUqobCNhKzlywumn9kJBLxer1WqxUi2Vb9KFx2NlnFdNMoHjI8zyM5E6q2LllD" + "Kx/Y1uRfJr9YJhoi7S8bRB2LxSiKMplMRZYCWt2ool1IfihdrQxNBEHMzs7G43Gi1is31FcnGnxJ" + "wGAw+cA8TJJkzspeQDJsCEFCoVCguHRyqWoXOp1OmNWFzytNZDabP/KRjwg/ARfZjo4Oh8NBCDzI" + "kMIif4asE9d65OXrcDhmZ2cLVC3BrBp4fq688kpQyjR9XqvmvrqKQgrq2ixZPrYhqHIZuTpEUl5V" + "E8/zkHwbsonUEOF1getaMSJrKeMAfrPLZUHkeZ7juBVlOoGkLPWg5L4sJEnG43FYlaGOKMdxxWwd" + "ctL55JBOp8H5MH8pEpYhJUkykUiIRKJUKpXjiFUJPQWYwfP1AjXZVpIkCVXcahiWz/O8Tqfr6urC" + "KdAwmKa03iDn8PpfjFYKUhwII9KRKV6oVlhSDhfa9pHrnDCKPj8kbTm1ciWubkWg2CuPx1PzLVyz" + "wi/m54enq/leqGKokwe+ITCZTBqNpqGzJLb47RZls1mO48q1RydJUqPRQFHosjRYSk/QvxcvXvT5" + "fPnXCN9qNJrOzk6VSlXKc8DzfCAQCAaDBRTAbrc7GAwWb+dPpVLBYPCyhfJqJeDldKCjowPueywW" + "m5ubg+yyBToGX8VisUAgwDBMzpHkYqbc5cpuQV10NJh6vZ6iKLVaLfTcBt1K2Qen3tTPtZ1/kUsI" + "GNUbwoTV6NT8lccUoFlvTVkcdurt0SUXS3/ngK4058P8QYBP8tevJVsjlhnG+llQeJ7XarV2u73m" + "W7hmBR6VY8eOxWKx1qlNJYRl2UQigePLLguMz8jIyMLCQv4muYFowYdciCgUCoFwWK5bKJVK68EL" + "X7iYWa3WAlK0SCRCyT9XB0R3/+IXv/jxj388OTmZM33A3wzDPPLII88//3w0Gr3sVgNaeOutt/7z" + "P//zwoULBY6HU9c8Hp5YdIUSiUQcx4XD4cumIoPbIZVKC6QiA28TjuOEFwijMT097Xa7Q6EQqCFA" + "urZYLEqlkiRJ8F9gWbYSZt56m+xq3h+e59PpNNTgrYf+ND3l1Y1iygXckWg02uj1VzArpSZBQ2UH" + "9AU0TdtsNlxar6JACrQmeGZWAcuyUGq31h1pDCKRSJGZfTH1iUipVKrV6jIq1Wquo4ILgbxQ0BmT" + "yQTS15LHl6hfh9+6XK6XXnrp2WefnZmZWbI/UPIUpapC7mfZRfg8RkZGXnvttfn5+Zxvc3oOL2EN" + "hx12GPPz87C5XJHCgqIojUaz5IoOV5dKpbxeb/4sAylq4vE4KPkikQhkX4OBHRsbm52dvXDhwtmz" + "Z2OxWEmXl0eTLY1lSRIO1psWj8CpAkiQ8/v96XQaL711BcwMDMO0SA7hFQGDE41GofAhpm5hWRYt" + "uDk6bkzpwAZp8+bNKpWqNUVNuVxuMpla05K/CiBqsta9wKweEUVRNE2X8S7WyQMBWUNhhShcVqRE" + "PTS/WAUxmUwyDJPJZJYzzH7uc5+75ZZb1Go1krE5jstkMvAHswjK3ZpZhGVZ9K3Qdp3NZpPJ5BNP" + "PHHkyJFoNFqr5RCENHAa53leLBZD5ZJiRrXAMXBf5HK5wWCA7DvCn7S3t8vlckh7m81mFQqFSqVC" + "EXEURcnlcq1W6/V6I5EIlkYKkE6nyyuw4bWzcsDYKhQKnU4H0X217hEmF51OV2IIUvMBOjiRSHTk" + "yJHx8fFadwezNCgCaGpqClyTzp075/P5qvkwN/1iDYPpdDrlcjnRAtebj1gsLnJ/iCGaxVOmlWnC" + "8BtYKmZmZuLxuEgkArm30o8psuYVsJmDWIhkbAhQ8Xq90WgUKnwoFIpUKqXRaMBUGwwGOY4DBxuQ" + "oqVSqclkomlaKpVms1mv13vy5Mnf/e53u3btMpvN/f39NcxBBUZp8DoDdQNfjrQHYrEYXADAURal" + "QyNJUqfTJZPJsbExqJhCLCo7eJ5vb2+3WCxOp9NgMEBx1zJcYdMBC/z58+clEklvby9N06sbKJIk" + "VSqVyWQqUJgNU0bqJC8xZkmwt20OLMtqtdru7m69Xt/b22swGMqyNGAqAc/zKpXKbrefO3eOYZhg" + "MJhIJKp2v8CFrbmfjea+uiJp+rtcRoxGY3lNoQ1NIz45TShmAxD6QlR+UoP2e3t7bTabz+db0qQM" + "i8eLL75oMBh27NihVqs5jovH488888wzzzzjdrspilq/fn1XV9fU1NTtt99+/fXXUxTl8Xi8Xu/R" + "o0ePHDkyNjaWTqflcvmtt966b98+h8ORyWR+9atf/fa3vx0fH5+bmzt58uTDDz98/fXX18TGBQZ5" + "+Fer1dpsNhBuy9ITeK/i8Xg8HtdqtaAH5XleJpNFo9Hx8XGVSmU0GsH0DX7LUqkUtB46na7h3skq" + "o1Kp5HJ5KR5cPM8nk0kcm43BYJaEX0zHvXbtWgJLGvUKLKxyuVyn00EKtPb2dij6XZ0OJBKJQCDQ" + "xJHhJEn29fVhPSmeAYonGo02dP6z8tKINfCaVswGT2NiUcSt3DMKK5NarQZHwXwxG6TEaDT629/+" + "ViKRWK3W9evXp1Kpp5566r/+67+cTud1110nEolGRkYOHz68sLDAsmx/fz/MxYlE4sknnxwcHNyx" + "Y4dKpXrrrbceeeSRS5cu/d3f/Z3NZuvt7V2/fr3b7RaLxQMDAzabrYbPH6zEEokknU57vd5EIkHT" + "dFk0T9ACRVFSqRQpEcjFLPHXXnstuIsTBHHhwgWI0AaZH8ABw8sBY7hmzZrSHxuO46CKW5m6hsFg" + "mgSJRJJIJKampgKBgN1ub6wdUmsCzmgEQVgsFplMVoW6U7BVeP/998fHx/ft29estSEb0RaHqRXk" + "Yh17jUaDM/8DgUBAp9M1lhquCe8cPJqbNm3S6/XVCQNDfuDLHQB+1FDfOJlMikSiN9988xe/+IXV" + "an344Yc3bdokEokmJye/9rWveTwehUIBz9DAwIBKpdJoNF/60pduvPFGmqbPnDnz4IMPPvfcc3v3" + "7m1ra7v11luHh4cnJyd7enr+4R/+obe3t+avIkmSLMuGw2EI9y3jigIZ7PM/NJvNBEFAaIDZbAbd" + "ysTEhM/n6+vrK9fZ65kSB7kYNcRlbyXyXMA6VwwGIwRCtyCSqNZ9wRQLzOejo6Ner7ezs7M6J12z" + "Zk1bW1tzBx9NT08XKPuKweQA2XPw5AkgDWAD0YTu/rA8aLVamUyGEvNW1OkCecQJ/5uDWCwGYyxU" + "qHr++eenpqb27t27bt06hUIhl8uHhobuuOOOtrY2nU4H0cg0TYvF4ltuueXqq6+GjG5XXHFFT09P" + "MplMJBIkSUKotlwuD4VCLMvWj9kWItXL2+aSLgk5H0L6ZYIgINC94d7GmnDZUYLEcisaTKywx2Cq" + "Rn1OdDkLIk7k04jA3qkKJ4Jnw2w2O53OeqgIWyEgbgJtTTGYAsBD4vF4YrEYTvgPGI3GxjJlE00p" + "ZgOQXRwkz/Pnz3s8nirMa2C1XvJEGo0G4oehkrPX66Vpuq+vT61Wo3pdN9xwg91uP3HixPz8PFwC" + "QRCQtZtYFClNJhNFUSKRCP4Licf9fn8sFquob3x5KaafOccsuUtDH8K/FosFNBRms1mtVjfKaJRI" + "gcR7xSD87ZJKU47jit9sRSKRZDK56s5gMJjmoEWm3+YGspxU7XQNtIdZHTzPT05OtmzFbMxK4Xle" + "o9HgxOyIRtTBNa2YLcRqtVa0wgqsDXK5PBwOT0xMxONx4oMx4SANgkhMLqJSqZRKJUEQIpEIgmOV" + "SqVEIgmHwyCoQIdB7EHRs3q9XiqVovTpIGI5HA6DwUCSZOHSZZelOsW3i7GOrtSCCoNjtVpBJRGL" + "xVqhOis8YBs3btTr9SUai2Cog8Eg6GuEHwYCgddff31ubq6YPRB0o7m3ShhM/ZDNZsPhMGQfrDdo" + "moY4JmyKaVCsVqvRaKyapN0KLg9ut5vjuEgkgt2AMYWB966vr6+9vR1L2kAj7i1bQswGp4vK3Z50" + "Og0+25lMJhQKpVKp7AeBU8OGA5JymUymWCx26dKldDoNpao4jnO73alUSiqVCt2/c1zvlEqlSCRC" + "bULQLAg2JEnGYjGoaLW6C4HMYSWORjFnCYVChWs1syzr8/mKvxY4LJlMwtIFNcxrMitVcxZAWWrA" + "hl8KSIkjjItDeeZQvNxlhxTylpfYGQwGUyQ8z7/77rvT09N1tf8AvfCGDRusVmvTmyibm1YQfasJ" + "FPWYnJxMpVJ4bDEFgF39wsJCIBDA8fxAI74vLSFmx+PxVcQXFdgcCM19PM+Pjo56PB6IuwaTMhiu" + "c36CTNkikejmm2/WarWnTp1yuVwg3MZisZdeesnlcqHHSOgOjf6IxWKRSCQUCqFyZTKZzGg0ymQy" + "juPAmr26PU02m52fn49Go1XYEl3WuMFx3MLCAvILKLJZVMVNq9VCnvMS+7k6qnZeeCSQcqF0hKXd" + "ETRNb9261WazFTPBIWeNsvQHg8EURiQSbdiwwW6317ojuZAkCe6OWMxuXLxebyAQwM4IZcfhcMjl" + "cvxqYAoAz8bc3Fw4HK6ODQxTCaqdmBqmlar5IMHy0NvbazKZVrr1R13N+SFacpAYbLFYFArFFVdc" + "8fLLL/v9/pmZmWg0mkgkQOKVSqVOp1Oj0dhstoWFBbDi3nTTTc8///yBAwcUCsWtt96q0WjeeOON" + "J5544tKlS+3t7ehcwrODZquzs1Mikfh8vnQ6LZFIlErl4OBgMBgcGxtTqVSQ+G3Vw5tKpaqgM5NI" + "JAaDoXARKblc3tPTAx7gxdw4GJzR0dFIJEJUPaJMSDV3JDAyp06dCgQCWq229FmYXyajePHZ9aan" + "p/1+f4vkeMdgag5Jklartda9yAXmovHxcb/fTyx6P2IaDoZhYH+PNaflAt6FCxcuQNEZPLCYwhgM" + "Bpqm8RTauNRGzK7arA2lRObn5yORSPEnhSPBBq5Wq3Pse7DqwIcoJJggCKPRqNFoXnzxxfPnz8tk" + "Mo/HAxK1yWT61re+dc0114AMDLK3QqH4/Oc/Hw6Hn3322RdeeAH6qdVqdTodIUjNqlAo9Hq9TCZD" + "Z1epVG1tbV1dXSCCSiSSDRs2PPbYY9/4xjduu+22e+65x+FwrG54SZLs7u6uQoIBCCkvfIxYLFar" + "1Stqlud5ZM2uTpC5EHgY4vH42NhYMBi0WCxEVfxbSJIMBoOFPfBX1NqSnxf/RMlksobLA4nBYMoL" + "TEczMzORSARvEBuXEvNrYvKx2+1isdjtdlcnhTum0YFMQ9iU3bhUT8yGnXokEkmlUjqdTi6XV2f6" + "JkkSkoohn+1ifkIQhFgshiiaHHvypUuXIpFIZ2enRqMhF2sFkyTpdDpvvvnmS5cugce42WwG4dlg" + "MOj1eoIgbr311iuvvHLt2rXwqw0bNnznO98ZHR1955135ufnr7766sHBwa997WuoGzt27PjsZz97" + "5ZVXQrArKD537drlcDh6e3uRMLN//36VSvXHP/4RbNGrVmGQJAmi++pY0UmL6eRKL4QkSbVaDSqJ" + "mkxJPM9DUu4qZ1+DnVA6na5c/tLim7VarZDjHW/OMJgWp8SUnJiag29f2fH7/dlsFvaWte4LpgFI" + "JpPYY7yhqbY1OxaLRaNRpVJZzTxJYrF4FQp1mqahdJbwQ57nWZadnJzU6/VgbiUXa0SvW7fO6XSi" + "FNkSiQRylUkkEkgftX37dqFYfurUqVgstn379j179iSTSa1We/LkSYZhoER2Npvt6+uDGpLCPjgc" + "DofDIeySyWT65Cc/uXfv3kwmo9PpSjEdlCKiI31EMQtz8cqOIoGr3rhxI2Rcn5iY8Pl8vb29xbdQ" + "CmCfhz4g1WPVFlFYsBmGYVm25nHRS1o/0AqBNxYYTCsAE5HJZKJpGvKA1rpHGExdkEgksMiEKR4c" + "WdDoVE/MhgfFbDYbDIaqmbIR4FFMUVTx4v2SEgtYrfV6PVQIEx4AqcjyJ1BhDjOQvrLZrEgkev31" + "15955plPfepT1113nVQqnZ+f/+lPf+p2uz/ykY90dnbCr/J7my+xwCdQlLvISytwyav+FTi31zDr" + "GEmSXq8XkpN7PJ5IJFI1dwmCIIaHh1Fd9GruKXme1+v1crlcoVBASEJtJ+Ul3fV5ns9kMtj/EINp" + "KfR6PUVR1Q/hwZQRfO/KS1tbW/G5TjAYsOThvVPjUn4xWyhj5D8ZtSr2Q5JkNBoViUSldACJvks2" + "slzSSJTyLUdC7u/vVyqVjz/++BNPPAF270Qi8eEPf/iOO+4wm83kYvHhnDFEsnrOJ+ASX5MoOOhP" + "Op2ueR1I5IjV1tam0+mqY1IGbYtCoRCG61cNkiRDoRDDMIFAIBQK1cNcnB9nEY/H4/G4VqvFtR8x" + "mFYAXvy5ubloNArOWbXuEWaVYDG7vJRSchXTUsBmCQx7c3Nzte4OZpVUxJqdM4kIN9ZLio6VIF/a" + "NxqNULm6RJa7hMuKWEKzNkEQt9xyi9PpPHny5LFjx1KplNPpHB4evuqqq9ra2sgPlvJarh3hJzXU" + "j0J/urq6ICh3panmytgThUIB41DNmEAwIJ84ccLj8dRkN5lKpTKZTDweh/W7tnKs3++PxWLov0gz" + "hTId1K5rGAymevA8H4/HIZKl1n3BrBJccarshMNhrHXCFAOY0BwOh8lkqkJyYkyFyBU7S9+mJxKJ" + "dDqdzWaVSqVMJss3xpbSePEIHdXA46JcnuqokeJzqi3ZgkKh2LZt27Zt2+688040XETDKo91Ot1K" + "/QM5jhOJRGX0h0Grl9ls1mg0VZM5eZ6PRCLlTRya4/tQQD8FA5hMJsPhMMuytXIYASYmJjweT04/" + "ZTKZMFv+ctRcR4DBYMqIVquVy+U4NrtBkUgk6XT64sWL27Ztw45I5QIn3scUCXIUBV/RBhUNMB94" + "4bPZbCkZ7UDxeebMmfn5+XQ6vWHDBqvVWv2pGfp/7ty5UCgEn0Dd7LKfBdJ6l9ICCKVarRYFNoPo" + "XsZ+VgEYhGPHjrndbjBaXjYKF34SCAQikUhZIvfgdAaDAXYDmUwmk8lUcyRXl2ZvSWA0OI5LpVJo" + "e8qyLFitl/sVx3E1dNpHd5BhmNV1A1rACwkG0wSAAtputyuVSrxBbFDEYnEmk7l48SL4SeGbWCLw" + "Umzbtk0ul2PfLkyRzM/P+3w+lmVr3RHMKslNo12Kty3MwlDQiOd5jUYjNKytbo7OLlL8z1HFzkQi" + "AZ84HA5UfKtccBwHRUELdAxWJuElCA9GodToAKIxNZ0wsJOTk7FYjOM4uVxuNpsVCkUBUz98rtVq" + "FQqFSCSCUSqlDzCwCwsLsCEIBALo7lcBkiRBBhaJRBRFleLewy8SCARGR0fhAeN53u/3j4+PR6NR" + "4VMEfzscDogMr21iFejVqn0TeJ4Ph8O4lCgG0wTAbDA/Px+LxUDxWuseYVYM7EYYhsECdlmAYWxv" + "b5dIJAaDAdVkxWCWg+f5trY2s9lcWy9FTCl8wGl8fn5+ZGSkv7+/o6Nj1cmcotEo6F2EEjvIUSvd" + "ggvFfpFIhGykxTSSI9BWQnF4WSV9jncAyNVwFcI47UZXaoJnCySQ53lepVJ1dnZCJvbCP0RlusPh" + "sEwmo2m6xKH461//6ss2rxwAACAASURBVPP5RCIRJI0opanigT7Pz88nEgmapjdu3GixWEoRszmO" + "k0gkarVaLBZDKTiSJLVaLQwRkff8wxPV1dXV3d1djG92eYG7D6XpCYKw2WxarTa/k/m/IgT+8OD0" + "/s477/T393d1dTX6G4HBYCoRSoOpJuARptfry5LUBkMQBM/z7733HsMwoVAI2ycxhYGNkFKpVCqV" + "TSAptCz/N3uCr/LExMSLL74oEonsdvsqNG3wEJw6dSoYDOaEkmaz2XQ6DZmQim8wm83Oz89nMhmN" + "RqNWq1cnbxOVSeMhkUi6u7sLeP5ks9lMJgOV5dFPaJrmeR4cjJvmnYEL8fv9qVSKIAiJRJIfk78c" + "IGJxHFcWcweMNkVRELBQeoPFACr/ubm5RCIhFoshRp1YeRoCJG36fD6DwaBWq0HGBhQKhfC/hGDo" + "pqam4vH42rVrjUZjOS6oUA/hpDlJDUmSfP/99wOBgEKh2L9//7p164ppKicjoEwm27hxY9m9TjAY" + "TE0gP1iHBr/XDQfLsjRNb9u2rbBvGqZIYAz7+vokEokwIgyDWQ5+sQZwrTuCWT3/J2bD+w/CicVi" + "KcXrNRqNIsESYBgmGo2OjY11d3cXKfygOkDf/e53Jycnt27d+qEPfcjpdCqVSmE6axQQW7i3lVgh" + "IKdagQNSqdS5c+eOHDkCZZZAWbB169aenh6r1QpmyUZHKHQlk0kIyl2RRoNcrLld4j2CnoDJl2EY" + "t9sdi8WqKbOhctmlZDcgCCKTySQSCY1GU0yCPY7jUOazeDwOwRqVu+Ql08hDP+PxOMdx6XQ6Fouh" + "nAXL9YTn+VgsllMYTyqV2u32CvUcg8FUGZR8hCCI8qa6xFQamOrFYjHHcW63Gxysytt+Cz4M8C7I" + "5XJ4F1pwBDCrA0dtNDT/v5hNEITRaCzdIJaT/gokTJA9ltymLwkcQ1HUFVdccezYsf/+7/9+/vnn" + "u7u7169fv2fPnjVr1mg0GpVKhYpFr9S+XRaWuxbo1cmTJ//f//t/p06dEibHoihqaGjo85///J49" + "e4SpO3Nc3Jc7XeEDqo+wSzkJulbUyfJG7slkMqvVWozXehmBVbOU3QNyEOru7i6mBDfUykZDx7Js" + "5VKgIbVXJBLR6XRg3ICv4NVbu3atTqeTSqVGo7EY5/9UKpW/b2vNvRcG03zAkjcyMgLOsVqttrOz" + "E8JJ8JaxnhEqR2DrMjo6mkwmISKsXPMzFBlpwYh9nucPHz6cSCRQxBwGUwDYVda6F5iS+MBOd0mn" + "0JWS41DK87xcLjcYDFqtViKRFPPEoIhNqVR6//33WyyWb37zm2fPnj137tzRo0d///vf9/T0bNy4" + "ccOGDZs2bTKZTDqdDrbsMHGDlFuFR7OwPDw3Nzc1NWU2mz/zmc84HA6CIOLx+IEDB44ePZpKpXQ6" + "3datW2EvAuG4yAAIjuhCf3v4A8LU4RrrIVMa5L6GjF8EQXg8nnQ6DV8Vr09Bx5doyoYBOX36dCgU" + "4jiucVXFYrG4yM0HwzCJRCIQCCwsLCQSiYpeMrQskUimpqba2tqcTmeObcrv94MtvRjvJpIk9Xp9" + "/mU26C3DYDA5wLvscrni8TjsAaxWq0wmK1c8KlJrXnahR9uJspy3mo1XjpwNElIQw0qaTCYXFhZY" + "lmUYpru7+4YbblCr1eVyRoAT+f1+mqbVanXjrtSrBl6BetjCYeofnucZhsFO4w3NB8Tsskx5RqMR" + "KlTDEogESJCEhassnA49QOAETgiWLhCYb7311qNHj7pcLp/PFw6Hw+Hw+Pj4sWPHlErlwMBAX1/f" + "3r17N27cqNVqDQYDZE0jKhOPXTzkImazeffu3Vu2bIHP9+zZ87nPfe6dd9557733hoeHZTIZ9Jbj" + "OBgo0ERIJBJY1VASNZIk4Rio+1UP0d2ZTCYej0skEtALoBvNMAykjM4J7y/c21JuFvw2k8n4fL5U" + "KsWy7NTUVCgUglV81c2uiHKdqLC7tRCKotrb2wOBQNUyqVAU1d/fD293jiMGjLxIJJLJZMK3eDlw" + "klUMpnUA32O32w0rRfE/XM69DlbAYiJ04IzpdBrmJQhukslkUqk0m80yDAMTGsMwFEXBYZDcNJvN" + "KhSKTCYDAUGZTAZ+QpIkRVHQJk3T5SoojfpJURQKhUun05CnHToGhTzgSKiFSRCETqdLp9OQgTKH" + "/NGDK4Whk8lkIpEI/gtOSfF4XCwWnz9/fnJyEm5Tb29vf3//ZSt0rugyCYKA0hgtKGMTBKHT6UQi" + "0eDgoEKhwA5cmALA43Hp0qWFhQWcS7JxKX8CSZi7kZGT53lwJ4aZOpVKoWAtsVgsl8sZhoGKEQqF" + "ApYQYVQqrG233Xbb4cOHfT4f8gyPRCLhcNjlcr399tsvv/yy0+ns7e29/fbbe3t7dTqdTqdDq2+t" + "hG1IAwYqYYZhQO/gdDqHh4ffe+89GAee55PJ5NzcHOSNY1lWp9Nt3Lixt7cXfJ5jsVgoFBKLxbFY" + "7OzZsy6Xa3BwEMqA5yTEIhad1as2a8tkMoPBADI2Wi0kEkkkEhkZGYE8XoRA3Kqo+hY60NHRoVKp" + "OI5ra2urstM47I1Kd9suvs9SqVQqlfb390NBrypcLKiNcnYG8MgNDg5qtdqFhQWVSlWMCI23FxhM" + "0yNaJBKJPP7448eOHSNJMhqNwlJVTAv79+/P/zCbzSqVSpqmI5EIy7IFmgKfOJ/P53K5IFvv6Oho" + "PB5vb283GAyxWMzr9TocDqlU6na7u7q65ufnKYpKpVLpdDqVSg0MDEQikVAolEwmY7GY0WiEaJeu" + "ri6/3z8/P9/X19fb2ysWi0uf0MRisdfrXVhYgDodoEyfnZ3VaDQajWZ+fl6hUMRisba2NolEEggE" + "3n77bdg47dmzZ35+fnx8PL8DOaMHGvyFhQWpVBqLxUwmk0qlcrlcUqkU0pqcPHlSq9W+/fbbPp+P" + "IAhQKCCzRxlnbI1GU66mGg6Px5PJZK6++upWHgRM8chkMthmQ1R/rbuDWTHlFLNBqnS73aAhHhsb" + "O3HiBEVRIyMjHo9Ho9FIpdKZmRlwLlUoFCqVym63u91un8/HMMzg4ODAwIBKpdJqtYlEApzNYJmM" + "RCKEwECNbMUgpk5NTU1NTR0/fvyNN94wGAw7duz48Ic/fObMmXg8XhNfC1iNNm/ebDAYQAsOhTFE" + "ItHCwsL09HQ2m0WFlw4dOvT4449PTk6CYiKZTNrt9ocffvjaa6+Vy+WvvPLKs88+S9P09PS02+2G" + "xgcHB//+7/9+586dOTnYQPNdNTshmC7hb5ZlhVb3hYWFubm5HJnTZDJVtD/gxA4KHbVaDSqbnGMq" + "Id1BmzRNSySSykVHL3decHyo8knzP3e73ZBnvkiwjI3BND3wmoMZeWRkZHp6GvltFdnCX//61/wP" + "QVwE6y5MuUj/jg5AB4PBFmy2JEkmEgmGYRQKBXiwp1IppVIpEokSiYRKpQKjMeS5gKylmUwGlhWw" + "JMNSrlQqGYZJJpMajUZozSaXj1ZDX+XnWEF6alDKI2s2sbimQ2JqiUQCdTQIgmBZFsKjCII4fvw4" + "5J7M3+3kjB74hIPSHwwYYrEY/gv7+Gg0ChJ4JpNBLnV4ri4LMPjZbPb8+fMsyw4PD5dewRTT3MC0" + "4PV6IbH0zp07Ia0vfmwai7KJ2bB4LCwsnD59Oh6PEwTx3HPPvfbaa2hhAy8vVMYAYlDlcnk6nQan" + "LChZRFFUZ2en1+sNBoPE4tzEsqzP5xMaqJG8jcKbU6nUxMTE5OTk2NjYgQMHotEo1BWrvjUbXgOo" + "I72wsDA7O9vW1sZxXCwW+81vfvPuu+8ODg6uXbsWNBE///nPQ6HQpz/96a1bt6rV6tHR0UceeeRX" + "v/pVZ2fn0NDQ2bNn33jjDYZhrrnmmjvuuKO7u/vNN9986qmnfvazn1mt1oGBAfTK8Tx/8eJFu91u" + "Npur9hKiGOzZ2dnZ2dlEIgHudq+88orL5YKc8NAZkUi0b9++JccK/oAViFit9wGYI958881wOKxU" + "KjUaDagAhEMhfGxWcYrlgNZQ2pjqgELppFIpRVFVyyWzpOaCIAiv15tMJle6AKzudlTiJmIwmDIC" + "L+m6devMZjOIqRKJBJVCKP7l9Xg8SzaeM9mKRCLhJyhbBzoSld4E7XAymYRdilQqRdb1aDSKwtzg" + "58FgEInH4KwE83woFIJ2EokE/EooRUNncpYeIm9py7ESo35Cg8RiGB3I0ugCUSPgJkAQBGyN0H8v" + "O3rI9g6O8fBfWHzhHolEIqlUyvO8Uqm88cYb8c6+XIAb5uzsLAx7rbuDaQwCgQDMnJDeotbdwayY" + "MjuNK5VKs9kMOuBsNhsOh9FXoB4WZngC2ZhYDNuGfE7ZbHZsbAykNbRyQAxV/umEghM4pYOjNZy3" + "to6poCSem5v70Y9+ZDQaY7FYJBKJxWJr1qz56le/um3bNpFI9OKLL46MjNx7772f+9znILB8x44d" + "b7755p/+9Kf333+/v78fmrr66qu//vWvDw8Pi0SinTt3Xrx4cWJiwuPx9Pf3Cy/QZDKBX1zVrhHt" + "Lbxer1CbPjs763a70Y0GC8Cf//znnN/m/53j6o/aX/KihLsWMAWA1wPHcRAcDnHs6Ldg06AoqkC1" + "81UA3Whra6Npujph0hCxD3qrUCgUDAbBe7AKp14OsHvwgiy1xbC6MIdsNpvNZst7EzEYTNm5/fbb" + "L168+Morr6DsmCslGo3mf4gERaScha0FUjgyDAMbU6lUCkIjTP7EomoeuX0xDAOGcZIkdTpdMpmE" + "PQnk0QQpF6VWjcfj4XA4nU6DGt3pdMJmA74Vi8U0TWez2VQqBQHeyLkJiheyLIuKHRIEAQezLAtx" + "dslkEqpRwr9gZ4bOQ2w2WLnBgw/8SHNWySJHrzBodiUI4tprr923b59CocCuqmUBNBcOh2NmZuat" + "t9664oor1Go1lrcxhUkkEmUpFoupFWUTs2GuNxgMDz300K5du6LRKJqahZJSvkIXRGWJRDIyMjI6" + "OgrLWzqdBs8umIPAUh0IBHJ+i/5Fybd5nqcoiqKoTCYTi8VWlG2lvCDBIxQKQRoVtVp955137t+/" + "v7+/n6IonufPnj0bjUZ9Pt+xY8fQ4grBYGfOnNm7dy/Y+W+66aZ169aBJEnTdF9f3+TkZDwez5FU" + "29vby3sJxegp4ACNRqPVamE3QxCEXq+naRrdEZFIZLFYxsfH4W9SkNcUlnO4fZlMBjYWsNKDkgV2" + "KrCPga/Q7ipfogP/Op1OZ7FYQO2HTgTe7KlUqkLqwHg8XjWPcYZhgsGgRqMRalXqQeaMx+NjY2PB" + "YNBsNhfTpVAoRNN0fpaBwsD+tTXrwWAwDQG8+zab7aGHHrrvvvtA07qKdg4dOpTTbDabNRgMsD1Q" + "qVTxeJxl2XA4rNFoBgYGwPQ6Ozs7MTGRSqWcTidUOZmdnZ2ZmeE4TqFQKJVKq9VqsVhIkpyentbp" + "dCaTiSRJkIRhGvf7/RRFKRQKv98PfnY8z7/22ms///nPx8bGCIK45ZZbHnjgAbfbPTExodfrY7GY" + "2Wzu6enJZDIjIyNarTYUCvn9fp7nxWLxwMBAe3u71+v1eDwgxovF4q6uLpIkfT6f2WxWKpXnz59f" + "s2bN+Ph4T0/P9PS00Wi8cOGCSqXq6upSqVQjIyMDAwOwbXj//fd7enpUKtVKR68wsFCmUqlgMGiz" + "2WQy2b59+9ra2lpZxi6jqQYeXYlE0tPTc/z4ca/XC0r52lqDMPXP9PQ0PCpVe07S6TQoKKtzuqan" + "zLHZBEEMDw8PDQ2tVOlCkmQoFAqFQqAVTiaT6XQaidnT09P//M//DG7k6HiQzUASk0gkIF2bzWaH" + "wzE4ODg/P//GG2/4fL5qhsvmXFEmk3E4HA899NCWLVsgLZzNZlMoFCAfgmaa5/kDBw689tprsB6L" + "xeJUKqXRaPR6PSosLJfLhVW+aJr2+XyQSCO/+HAZge4Vftlg8YCyHxcvXlxYWMhkMlddddVtt92m" + "UqnAK0GtVnd2dv77v/87x3Hgzg09ZxjGbreDGYGiqGg0Ojo6StN0LBaLx+NKpVKlUgWDwUwmYzab" + "o9GoWq2OxWKw+wHvgJzdG1hTd+/efd1118lkMhDFicXsaxD9XnYrKCyTarUazAtViFOQSqU6nQ5s" + "9QaDwWw250Tp1wqpVKrRaErvzHI7D/h8YmJibm5u/fr1VqsVb1AwmLqF53mbzWa321fdwrp16/I/" + "BJdm0LfCZMuyrNBRbsuWLeDggzaLqGYHCjmGI7dv304UsX+Fs0il0gMHDoyNjZEkuX79+i1btsjl" + "co7jpFIpuBdBB3bt2gWrP6w+5AczaAjTvwnPu337dpIkd+/eTRDEnj17CIK44YYbIB03QRA7d+5E" + "x19xxRXF9Hm50SsArJgMw9A0LRaLYXfRynNsGWVgaCoajZ47d24VAVaYFgQ2k8JkDVU4I0mSbrfb" + "aDRWJ7FuK1B+IQ3WtlXcHovFAkYw4oOmb57nJyYmYBlD4VUglEIiE8j8OTw8vGHDho0bN1osFoPB" + "8MQTT7z99ttETRcJWNQ7OzuFqx0SsAmCyGazFEXdddddBoPB4/EMDAw4HA4w5m/evFmr1aKyJWC+" + "g/0BwzBms9lkMlXu3YOXLRwOgwBZ2GwIE4HT6UTG1aGhoX379tlsNuFhP/jBD6CSClw+WKThTQbp" + "F4wSIH5zHAemafCyo2kaKoTBdgrM5mDqz++PwWCAPDGJRCKRSGi1WkiHVnxJ6hUBt9JisdA07Xa7" + "IUo5x1+9vIB3Ivpv8Qt2AQ/80rsEwYoWiwXuaTFngdIm+Z+DE0q+QgT6PzExcfz4cZPJZLFYiNbe" + "BbYs4OuL1e11DihhS1E7FtbZoQkN+S6hT2ATIvwE+TEJlaHkYmaN/J4TAikLJZSBR06tVsMSg5pF" + "dVWQYlo4ffGL1UkJwXQNB6NOCn2/4Q9YRJCsjrqxXJ9XOnpCwFns+PHj4C6HxqrFZ9dYLAYlS8oy" + "DiRJplIpr9cLOiDsAIwpBr/fXzVjITznJpNpyRTCmNVRKVtoiSm+YdWBNN1TU1O//OUv5+bmpFIp" + "yvOpUCjsdvuuXbv6+/vb29t7e3ttNhskM89Zq2oFTM0gVUJ8F7kIOsZsNtM0vX79+o9+9KMEQdA0" + "LZVKjx8/fvz48aGhIZFI1NbWRlHUxMQEOOLCWghFsxKJREVfA57nPR4PuAkUrtENu4qRkZFwOIzU" + "BzzPw81CW4ocqTu/QZlMhvQsOYEGy9k2l+sSHC+Xy0EmF2ptKjRo0CyYc/Ozr1UCGBYo+VZkTUWW" + "ZctuzIdbvG7dOp1ONz4+DloGZG4q/Nt8rQf8KhQKkSSp1Wpzegvn2r59++DgIKT6wytBC5LNZv1+" + "v0qlUqvVte4L5jKUqApZciOR89Yj4TNHgkVTELmYNkLYAoppKtBJodkZFLWwmrS1tXV1dYEQK5zo" + "4DC0MKEzCk8nlPDJxYAmUhBIRQqyqfGCXG7Cqyty6it+GwaL9XPPPbd27Vqn0wkhOViNVYlkK3jZ" + "whQJPCp9fX1Vqx8ErDSOD1OY8ovZaGErsR1+MYX19773vSNHjvA8D2ZJq9W6bdu23bt3Dw4OdnZ2" + "arVaYRA4yg6lVCrB5alWwja8IRRFyWQylJ5NeHUEQezYsePQoUOvvvpqf3//2rVrYfv4y1/+8p13" + "3unu7h4cHFy3bp1er4dQZ7RUQ8kNqOdRudePJMmOjg5isQB4gSPhToECbLljUK4a4a+E5yKWulOr" + "05ig3spkMmEkdhXWNvCwqKgnPwJ2YzRNq9XqIktVV0I4gVFta2uDNw45jRcz2vmiOLkY6k8sZc1G" + "qtZKF4fD1DMikUin01XnLcPUlmIkvfypJl+SWU62KXJRAA+szs7Onp6ed999F2WUWLJZodRdTMeE" + "f+Rsn5ZsqviFrHg5GTp2xx13GAwGqHCGRUFieX8rDKYKIEVblV/GynlitiZ1ulMBsSqVSj3yyCNH" + "jx6lKOr666/fvn37+vXr29vbrVarTqcDU1iOehgycxIEsXXrVoPBMDk5WcNLALtcTq4yAD7Zu3fv" + "s88++8Ybb/j9/ptvvtnpdJ4+ffovf/nLli1benp6iMVwYkiRgn4rTKlaIaB7Req0kNc0VBBFk4LQ" + "vEAUseRX7sWuqB17yXNVB5IkQZVQ5KWpVKoKRXHzi7GL4JFR5K+W63YxnqIFfo5peoRBExhMdRCJ" + "RKBMRFk/mgZyMbFOrTtSX+Asm6UjdMrArILz58+vulLD6sA7q/JSp2I2QRAkSabT6e3bt2/ZskWt" + "Vg8PD3d0dKAdvNAHbEllj06nk8vlQueranaeIAiFQtHX1wdpuvK/BVOkXq//+te/3tfXd+DAgf/4" + "j/+QSCSZTGbHjh1f+MIXenp6eJ4Xi8VCGRsuc/fu3S6Xa2BgoNI1jVYknaLLhGRvdWVratYSUOjx" + "LuZgkiQr4WSLvE5isRi56JxZ4ppaWJnaZDcRg8HUOTDHulyuixcvhsPhshf1qBOwFQtTdiAQHcf6" + "rpr+/n5cLruhqSNZSAjyHb3jjjtyUokIDdcFWkB5PoUNVgdyMUz3oYceCgaDULdjycN4nl+7du1X" + "v/rV2267zev1ZjIZEM4tFgvoDvr6+r797W9brVYU2k0QxLZt29asWaNSqSqtIFzRoEFnWJbV6XQd" + "HR1lTBxSCvxies90Og3ZuSvdpSpbs6G6VQ3TEMCpx8bGoGg5UY4RQEEENX9+MBgMBuYiKMzZxJmr" + "8HxbBVpnkEHh7nK5GIbp6urCSStXx+zsbA0rE2NKp07FbABSQ1/WcF0AEGVZloViHtWc4CCUq7Oz" + "87LdU6lUQmctcjEPCkEQSqVy/fr1Ob8CE3cl+lw6EokklUrNz89D5a2aS0rI+10ul68uAf6KyGQy" + "qVQKYuYrfe3Qfjwej0QiNZ+FGYYBd4Yy7kFTqZREIqly8g8MBoPJAWKzHQ5HX1/f8ePH60GDjGlE" + "NBpNW1vb5ORkKzxCcIEQR9YK11shRkdHi8xxi6lP6lq3lGO7XoUmDH5y8uRJl8tVZSU0KagmUsxh" + "CGFdTWIxa7fwJzXxgS8SkUjEsmw0GgVPoVp35/+QyWQ0TVdBk8qybCQSqc6cCE8ORVEqlarmLvqw" + "iMbj8ampKUg4X/rdD4VCqVSqLN3DYDCYEpHL5QqFAsfrYlYBrNcymcxms1XBq64egGu0WCx2u70K" + "Ro7mA7ZVV199Nc5F0tDUtTW7XK8lKrhdZYqU6woflv9t/cRjL/fzlq0FIpfLISkAURXfsJWmQKtc" + "N8xmM0VRHMfNzs6GQiGDwVB6lzQaDSSob81nCYPB1BX1rODG1Dnw5LAs6/P5mjv0IAdsxy4Rh8OB" + "ffoamibfv4K3eX9/P1TZrXV3GoN8+3nxoKjasvaoYSBJEop1V+d0K0qBViHgjmu1WsjSwXFc6Tl4" + "4YoYholEIul0umUfJwwGg8E0AWDNFolERqOxpUy7ePleNaDXS6VSTVbXoNVocjEb7GAXLlzwer34" + "bS8MjE8ikfD7/SuVbVAdr9YZ5OWutFYJyWo18nBeyDROrDx7Qk5TyJmC53mFQuF2u91ud80jzzEY" + "DAaDWTWQSyUWi42PjyeTyVKMGY1F6ygUKsSJEyeqXNALU16aXMwmCIIkyUAgkEgkat2RxiAej3u9" + "XoiJLb5SFEEQ/f39Wq02m80uWcCs/lnpmrekA2EikZifn49Goy3lXsjzfDKZLD0FGsMwQsWtTCYz" + "m81VyKiPwWAwGExFIUmSYRi/38+ybK37gmkYpqam8APT0LTE/lUqlVZupw5JyxD5YkYVxK2yaEZB" + "WtbpdF1dXVCpe0VqSLfbnUwmqxmHU8aBzWazLMsW75mTzWYh1ZkwDT5BEJlMxuVyRaPRcnWseFKp" + "VDqdrr7mGO54X1+fVqslFv1HVtoN5EkRi8VQUQCSJG02m9FoxDmHMBgMBtPQgNM4riCNKRLYBV17" + "7bUKhaLWfcGsnrpOgVYWKirlZrNZFIyKYm+E5QF5nuc4rqIpwdApyiKNQEqtlXaAIAifz5dKpS5b" + "z7xcCB2MS2yHJMl0Oh0KhbRaLU3TxTTI8zzDMPkDpVAoBgcHTSZTddZRoZe+UDqtwqmFfSAIorOz" + "U6PRkCRpMplAR7OKRlQqFc/zwrg1vB3BYDAYTKNDkmQ2m9VqtUNDQzMzM6VEV2FaBFR7qHVcI5uS" + "5hezCYIwGAyV0AaBgzQywWUyGbFYrNPpoE4gSJtgJiWKzjq+CliWdbvdarUaMjOX2NqqZdcqp3Nn" + "GIZhGIqiJBJJKeeF38pkMp1OV3zKbpFIpNfr4ZKFYw4PQNUyjaMicMRibfCyqB5WAXrgKYpaqZpG" + "2EjOJzWvu47BYDAYTInAWiYWizOZDM5ohSmev/zlL8lksta9wKye5hezSZJMJpNlj20AGXt+fv4P" + "f/jD6dOn4/F4LBajaXrnzp179+4dGBgAu+js7Ozx48e3bt3a1dVFlFv+gYl7YWHh+9///p49e/bt" + "2wfl9UBvujrDZv1LNXDVHMclk0mpVFqWktFisRhVJixGUiVJcskSC/F4/OLFi8Fg0Gw2X7aREoFx" + "iEaj4XCYZVmQ+WsllyJpH7qxuj7kj3z9P40YDAaDwVwWkiRDodD4+DikIMEmSkwxJBIJeFSwdqZB" + "aX4xmyCIeDzOMAxRPuMYCBXnz5//3ve+984771AU5XQ6FQrF7OzsyZMnDx069PWvf33nzp1SqfTC" + "hQtPPvmkRqPp6upCLr7CP3LahL9zBJUluy08HqJ9UJtoBq+mlFK1c8GJKIoCGbvs54UY+8u2vORN" + "4TguHA6nUqnqiLs8z0ejURQlXunTFQa84MLhcDKZXN3lY6Eag8FgMM0Kx3EMw2B5CVM8VXYUxZSd" + "lhCzy25DJgjC5XJ997vfPXr06Kc//en9+/dbrVapVBqJRH7729/+5je/OXz48NDQkMlk2rx580MP" + "PbRmzRroA8dx6MdtywAAIABJREFU4FsLNYrA1RYEZpZlQTjJCfCG8G9hvDexaEuH189ut//jP/4j" + "TdNgP4c6e3Nzc0ajUa/XVz9YtzpLSLli0YXA+IdCoUwmo9PpChe3XPIriURiNBqLDPAuCyaTyWq1" + "VvOMhQkGg6sWszEYDAaDaVZwSDZmpWi1Wtj8B4NBlmWR3yWmUWgJMbu8gJj90ksvnThx4tprr733" + "3nsHBgbQt1/+8pcnJyczmQxUujObzSCwEYt5s8RisVQqTafT2WwW5lwQuZPJJIgoCoXCaDQSBAGi" + "NcMw0WhUrVZTFIXOkkwmk8mkWq2WyWRSqRRePJQs4a233vr5z39+7733Xn/99Uv6NpcXkORNJhNN" + "01WzqVbCXA+ticVimUy2Oju5QqFwOByQDKzSqym0L5fLKYqqt5JXeCeBwWCaDzyzYUoBK6AxxQPb" + "yJ07dz755JPEYprbWncKs2KwmL1iwDP2+eefz2azn/jEJ3p6esBGDbOnyWT613/9V4lEYjabIXB6" + "ZGRkYGDAbren0+mDBw8ajUaz2QxZDfbv3+90OlmWnZ6ePnTo0GuvveZyubq6um6++eYbbrjBbrfL" + "ZLJz584dPHjwlltu2bRpE/IMf+21186cOfPRj350YGCAJMmnn35aLBZ/+MMftlgsLpfrtddeO3r0" + "qNVqtdvt/f39lTZ1wlwwODhoMBiqVi+6QlcEvgBqtXp1gitJkjKZrGpCL3J/qM7pCoC60d7ertPp" + "8E4Cg8E0H9X3DsM0Ezqdzul0Tk5O4gcJc1lgO+12u8EUZzQay5KKCFNl8D1bGZBa7L333pufn29r" + "a2tra4NiXWjG5Hm+v78fSR0vvfTSk08++bWvfc1ut09MTDz++ONut1sqlQaDwf7+/iuvvNJms735" + "5ps/+clPXC4XSZJqtdrtdv/oRz8aGRl54IEHent7R0dHX3zxxcHBweHhYWJRsjp8+PChQ4fWr18/" + "NDTEsuyhQ4cg+5rRaPz1r3/97LPPBoPBp59+2uv1Pvzwwxs2bKiCDjWRSIABv6EhSVKv1696LgOH" + "hRaMvIKnned5SKOKdfYYDKaZgDmtr68PqxExpZDJZGrdBUwj8d5778HWOid0FNMoYDF7ZYA4MTs7" + "m0gk9u7da7fbc1ZcyEBGLK7Kc3Nzs7Oz8XicIIhEIhEMBi9cuLBr1677779/eHi4v7//+PHj3//+" + "971e74MPPrh79261Wh2Px//t3/7t6aefHhwc7OjoIAgiFAp5PB6GYaBSFDQVj8dZliVJUiKRQGg3" + "nPdDH/rQ+Pj4Cy+8sH379k9+8pPQQkW3BeD9fubMmUAgIBKJGl3IRIO8ChKJxOjoqN/vt9vtRIt5" + "GIJ63uPxRKNRcOUgFtWxWHOPwWCagPb2dqVSWeteYBqVSCTicrkgSWo9uKFh6h+kl8EPTIOCxezV" + "APY6k8mkUqny5QeUuowkye7ubp1OB59AxrKurq4vfelLt9xyi0wm43n+8OHDIyMjd99992233Waz" + "2QiCIEly//79DMPYbDaZTAZViBcWFmKxGPyEJEmLxUJRFCrgpFQqUYLr4eHhm2666c9//vPmzZuv" + "vvpqrVZbhdEgSRKsuOQH60g3IqVYYmUymdVqXfKpaBE4jhPqWZCYXcMuYTAYTFkYGxsLh8NVWFUx" + "TQlktK11LzCNAdgnNm3a9Ic//IFoMbNNM9HYElGtgMfd5XJFIpHCGibQfIPgAfLn8PAwBFRzHBeP" + "x0+dOhWJREAahyk4m83eeuutP/7xj/fs2YPc0ZGxGjCZTHK5HJ1aIpFcvHjR7XbDwZAim2XZ6rgn" + "gfpg48aNRqMxk8k0uk9UKXMZwzALCwvRaLRl9Y7IcA0jEA6HFxYWQAFU665hMBjMKoFpbW5uLhaL" + "1bovGAymVejv7wdjG6ZBwWL2ygC5d9++fU6n89SpU/Pz85lMRihCQLpvhmGQtAmpwqFeF0EQcrlc" + "vIhEIoH3B8nhUOJLq9W2tbVBavElHW7Pnz8fjUbR5yRJptNphmGge/B51Tx14bomJiYikQh0AAah" + "CqeuLUj3gcY5k8mA30ErS5Xo2nmeT6fTiUQC9PetPCYYDIZYdAR75513nnrqqcnJSfhvrTu1Ahqu" + "wxgMpkEBZ8Df//73WLXX0GAxezXQNG00Gl0uFxKzs9ks1LLmOM7n8508eTIYDJIkKZfLM5nM9PR0" + "OByG5RnJZjzPy+XygYEBtVqNRFOAYRi32x2Px4UrOrQPKaaW6xjIe+vXr9fr9TkG8EpDUZRYLIYO" + "X7hwwev1NvF2BC7N7/fHYjHhIMtksv7+fpPJVDX3njoZ5FQqleMLB1oei8WyZs0a8KLHLk8YTIsD" + "etiurq4NGzZAOBWeFjAYDCYf2DVt2bKllIRBmJqDxeyVAfKwXC7fvHkzwzCvvvrq1NQUwzAMw7As" + "y3FcMpl8+umnf/zjH4+NjRGLu4pYLAZCL8/zIyMjHo8HUoVBXmu5XD46Oup2uxmGSaVSmUzmxIkT" + "3/jGN15//XWGYTo6OpRKZSwWSyaTHMeRJHnp0qVLly5ls1mxWIx6JRRjUHm9atbW6ujoUKvVcNL5" + "+flQKFSFU9cWpVIJ0x8aZ5FIpFQqZTJZdfaO9eA4ANc+NTUVDofzeyISifBOGoPBCLFarUNDQzqd" + "rtYdwWAwmLpmcHAQnF4bPR6zZWmtFGhl3O5/6EMfevXVVw8ePKjX6z/xiU84HA6WZRmG+dOf/vSL" + "X/xCo9EIZWCIXgaRw+fzRaNRgiDggCuuuMLpdL7wwgtGo/FjH/uYXq9PJBI/+9nPDh8+vHv3bqhH" + "3dfXd+TIkY6Ojptuukkul//mN785e/YsagFAcjVJkm63O5lMhkIhiImttJCD7JYKhQK8XJq+8AAM" + "KagVcua+qmUQhTsbj8cjkQjLslU4YwFMJpNCoci/6TAUWMzGYOqW6icpbILqA3XiRoTBYJoV2Ewy" + "DAOzDZjiaJqudb8wK6MlxOzyrojIMfuBBx549NFHf/e737311lvbt2/3+/3z8/Pj4+Nqtfozn/nM" + "4OAgz/MymUwul4NDdXd3t91u93q9wnZ27dr1xS9+8ZFHHnniiSdOnTplMpkmJycnJyf37t27fft2" + "qVQqk8nuvffeb3/7248//vgf//hH8ELv7e2Nx+MoL4JSqTQYDJB7nCCI/v5+q9X6zjvvHD169MYb" + "bzQajVKptIwjsCTCGPWhoSGbzdbQu6hiyN+echwXCARSqRQEAlShA1KpFKL9U6lUOp2u/pjDGW02" + "m0qlyhezm/4ZwGAaGohFkkgk1XxVG31awDI2BoOpDm+99VYqlSIIYsktFqb+aQkxu7yAp65UKv3E" + "Jz7R0dHxzDPPvP766y+//DLDMOl0ev369ffdd9/1118Pps7BwcFrr732yiuv1Gq1Mpls48aNarUa" + "CncBMpnsYx/7WFdX109/+tNTp06dPXtWqVTefffdd911V09PD5zrhhtukEgkBw4cOHHixLp1626+" + "+WaTyRSJRAYGBqA/d9xxx3XXXTc8PAzHW63W66+//n/+539++MMfMgzz8Y9/XKPRVNN6kE6nW6Fq" + "Rf54siwbCAQSiUR1nAgIgqAoCszIfr8/FArVqnoWpLVvhbx3rQOuxNbcwP1NJpPxeFyj0cjlcny7" + "Vwp+RzAYTEWZmpoCd0WdTlcFgxmm7DS/mM3zPERNZ7PZcqmCQJoViUQ7d+5cu3btPffc4/P55HK5" + "VCp1OBw2mw2MAyRJOhyOL3/5yxqNBkpe33PPPQRBOBwOYlFMgnV6y5Yt3/rWt2ZmZlKplNFoXLNm" + "jVqtRks4SZLXXXfdtm3bPB6PyWTSaDSoG/DHVVddldO9u+66y2azzczMDA0NgZW7mruB8fFxn8/X" + "mluQVCpVZRduMK1oNBqVSlXN8wppwRvd3GQyGYZhZDIZDq1vVuC2SiQSiqLwXS4SmGwTiUQqlcKW" + "JQwGUzlg33711VcfPHgwFArlVDXCNApNLmaDeW1ycnJ6enrTpk3CYOZVINyIoOxTGo1m8+bNQpEy" + "J/Csra2NWFyee3t7c75F7bS3t7e3twt7Dl8Ri0XClEplT08P1NaGYyQSCTojiP2oZYfDcc8991RH" + "0IVOTk5OhkIhCEFvZcNmOp2uWqYK4f1Vq9VKpRLvlTFlgWGYSCSiVqsVCkWt+4KpIHK5HKexLR6Y" + "YCHNSq37gsFgmhnY2JtMJpht8O6uQWlCMRtkD0jZDX/Pzc0dPHjwiiuu6OrqKkXyzJfSSZKEIlvE" + "4jtAkqREIhHmwYL04PCegPwJRbOFjZAkCW638F+RSASNIGFVmOEMrfQ8z8NPeJ6HYlrCksXEopah" + "ROXCZclkMiKRaGpqCpXybmXbSK2uPRKJJJPJRk8shKkTZDIZ+ODUuiMYTN1B07RMJiujfxwGg2lZ" + "CmeKPX36dDqdrm6PMOWkCcVsIBQKge8uiKNjY2Mej8fpdBIl5C9BQi+8D2KxGGp0SaVSkLehZZCr" + "0a8gzTj6G/7gOA4+53kejoeE5MJzQc9BtIb03cSioA7HQFUw9BPUgZwLLKNhGV04OiOxaGx3OBwq" + "laqahcTqkxpeO9KzYEkbUyJisRhnNMVgCtOagVEYDKaMZLPZbDa7XB5KKBhEtPa+uqFpQjEbnlSt" + "VgvZAkDIjMfjZ8+eLTE3FaQcQ2cBIVMkEoEVUS6Xg2CMvgLS6TT4mHEcJ5FIvF6vWq1Wq9UgFcNX" + "6XT60qVLKFN0NptVq9UikSgej4P3OMdxNptNq9XOz88nk8lMJgP/nZubS6VS2WzWZrPpdDroQAmD" + "d3mgMyg6HdnwR0ZGYrEYHNPK1uyqAU8aBBHwPB+LxWKxGN72YTAYTEVJJBLYdQiDwZQIbNhCoVA2" + "mzUYDDk7Z5hhhoeHcVxPQ9OEYjagVCqlUqlEIgGJd3Jy8gc/+AHkIVt1mxs2bEB/kyQZj8cJglAo" + "FMFgkOM4vV4vlUrhtUkkEsLDwDTNcRxFUYFAQKlUGo3GVCrFMIxcLlcoFKFQaGFhAaXO4nleoVCA" + "6zuoCXieNxgMOp3O4/HE43Ge541Go0ajcbvdcIzBYLDZbNCBVV9gMZAkGYvFQNhWKBTg3C4Sic6c" + "OTMzMwPHDA4OWq1WvAWpAvF4PBaLcRynUqlwGC0Gg8FUDlTAdmZmBpZvrNnEYDClQNN0JpMRZnci" + "BD6JVqsVHEjHx8eTySRFUcjFFc88DUHTitlSqRRqaLEsC5JhKBQqsc1z586t7ocomVnlQHnLK3qW" + "woBlXqPRXHXVVQ6HAyv7Kwo8VDKZDKqyy2QyCF6odb8wGAymSQBvsvwdMIrKFq68ePrFYDDFAzNG" + "joEEIklR7a7p6WlIewyliNAxMDXh9BD1T9OK2Waz+VOf+lQikbhw4QJ6NJcDzMiXLUkXDofR32Kx" + "WKFQMAyTzWalUimETEOotkKhEIvFkBiMYRiWZSF+G05BkiTDMCKRCI5BH8JhoLUq/uWBs5AkmU6n" + "oTPF/IrIi+u+7MHEYiw6nBF9BY2gRIgikWjTpk2bN2+mKKrIxpuMau60IFQBohVmZ2dnZmaEszMG" + "g8FgSkSY6gymd41GY7FYoNI4ZMSAZbHS2UYxGEzzkWOXBvdYk8kEX8lkMvi2v7+fpmmU0QkOxsaV" + "+qcJxWx4CuVy+Z133jk0NPTKK6+MjY2hhzIHEICnpqZEIpHNZoN83cu1fPToUfgjk8moVKr+/v75" + "+flsNms2mz0eD0EQsViMZdmBgQGTyRQKhTQazczMzKVLlxiGsdvtYGCnafrSpUsURSmVSogVt9vt" + "CoViYmKC53m1Wk0QhFgsVqvVINYKrwv1mSAI8EIPBoNqtVomk504cSIcDqMSX5cFXWYxryi4het0" + "ung8zjCMcIiEP89kMnq9/m/+5m/Wr1+Pw7OrAPId4nk+Go1Go9FMJlNzMRvfdwwG0wSk02mfzwe1" + "EonFdKoEQUQikYWFhVQqBQ6cPM8nEgmpVAqb4Fr3GoPBNBJo0gCN3lNPPTUxMfGd73wnm83KZLIL" + "Fy4wDEMQhFqthjDYbDabTCbPnTtnMBh6enqwpF3nNKGYTSxK2mBZXbt2bSQSAf+K/CPBgOx2u0Ui" + "kV6vRznMlmz21KlT8Ec2m6VpuqOjw+v18jyv0+mCwSCEYWcyGafTqdVqY7GYQqHwer1er5dlWaPR" + "KJVKlUolRVE+n08qlVIUxTBMJpMxGo0URS0sLEBxbIIgRCIRTdOXNWhnMplYLEbTtFQqfe+995LJ" + "pEKhQMnJC/wQFQYr5mBiUcxWqVTJZBKc8Jfrj0ajWbt2LSgLWoqauOsLgxGkUmkNZ1ucAxODwTQN" + "MKG5XK5HH3103bp1H/vYxxQKBXir8TwfDocjkQh4pYlEIr/f/8ILL/T19e3YsQNM3LXuPqZeKHJ/" + "JazVimlZ4Ek4derUc889d/vtt2/YsEEkEo2NjYGY7fP5UqmUXC6Px+P/+7//++tf//orX/lKZ2dn" + "zS0rmMI0p5hNEAQkYQbjHnhfFMBoNBbT5o033pjzSXd3d+GftLW15X8IdcVW14fluOmmm9xut1gs" + "1mq1xdi0WZYNhUJqtbrs3t1Fiu7NBDjtQxILuVwuLOFWUSA+p4wF20oEuzBgMJgmAJawZDJ58uTJ" + "Q4cOZbPZffv26fV6+FYikYBGnuO4UCj02GOPPfvss1/60pd27NhR225j6gqe51mWFYvFy5lMwPyD" + "M1phAFC1XHvttS+99NJDDz103333XXfddWg/mUqlwGT41FNPPfbYYz6fT6PRFO/BiqkVzXyHQNNc" + "jBwiDL4qfFhO+ygyWfhVfugyOqbAWYrsw3K/FYvFHMcFAgGJRAIm8eWA2TwQCJw9e7avr89qteb0" + "uUSWq/7XrIBsee7cuVAoRNP02rVrLRZLFUYA7C0ej8flciWTSbvdDqnmqz/4JElOTExA5gKVSoXt" + "ORgMpqGBGcxutzudzhMnTvzwhz8cGxvbu3fvwsJCJpMBjer09PTp06fffPPNQ4cOGQyGrq4umUxW" + "645j6ohUKhWLxVQqFehlhKH7sHz/f+x9d3QU173/zPbetCuttOq9oC4QKohmG+OGu3HBDnae7Rzb" + "J4mPz8uJHcf5vTjxe3HsOIlf3IOfcaHEBkwzHUQxIBCIIlBFArXV9t5nfn98j+6Z7EqrlRDalZjP" + "HxwxO3Pnzp07937r5wvxERaLRavVpqSkSCQSeuu8yUGSZGVlpVgsPn78eH9//759+5A3u6+v7+uv" + "vx4cHNyxY8fg4GBtbW1GRsa0OXVoTBqzWc0GRKJARshcEnoaOjJWC9Tj4e9yPewpcK1GoxEIBDwe" + "LxJFVy6X5+bmQnXxQCBws+nGUwgYN51O53a72Wx2QkKCSCTCbnyKMphLhoaG9Hq9x+NJSkoC9X6a" + "jeJwr8OHDw8MDHC5XCAmoOcSjSDQvhoaMwgQDSeVShcuXHj8+PG2trbPPvts69atZrPZbrdjGNbc" + "3HzmzJnTp08PDQ2RJLly5UpqvU8aNznAa9Le3v7999/feeedBQUF4KhEfhcI+sMwTKfTffrpp/v2" + "7Xv11VcXL17MZrNp7uibFrBFajSaxMTErq4u4LVls9nALXX06NHGxkaz2ez3+3EcX7p0qUKhuAmj" + "R2ccZr+afZ2YQTMYUrsjUZiBIs7hcHA4HBaLZbfbJRIJ7YS8HrDZbODPg3z7adspwRyOYVhRUVFS" + "UtL0T1d4WCgqxmaz5XI5pCHQc4kGFfR8oDETUV9fv27duitXrhiNRoPBgAp37du3z+v1OhwODMNy" + "c3Pr6urUajVGz3MaGIaN0Kaw2eyNGzcePnz4+eefr6mpiYuLo5KYkCSp1WrffvvtjRs3er1e8FjS" + "8+dmBkwbsVicn59/6NAhYEGGQkgYhg0NDYGxhslkyuXyuro6kUhEZ+rFPmiz2ThARsfYB4PB4HA4" + "ESp4JElKpVKBQMBisaAi1I3u3uwGMkJHa8lTq9VisTgqdydJUqlUAumu3++HMnU0aCCQJGm1Wj0e" + "T7Q7QoNGpIC1VCaTIV5SCP3FMIwkSaPRCOziHA6nsrIyNzeXprCigQD6Un5+fm1tbVNT06uvvvre" + "e+91dHSgqqvDw8MXLlx44403vvrqK61Wu2DBgtLSUjqokAbMnOeffx7DML/fT3WcgEYNlYBzc3PT" + "09PpiPEZAdqbHQ6BQMDpdHK53JnCmB+hMxMlnsF/6Yyy6wGMOaq1EK1u+Hy+KHKh+f1+YHOhZU0a" + "ofB4PGfOnElLS0tLS6OnB42ZAhzHgeEFx/FAIEA1uMNxn8+XlJS0ZMmS9PR0eumjQQXUZ6mvr9+6" + "dWtHR8cnn3zS3t6elJRkNBpJkjxy5EhnZ2dHRwckby9btkyhUNDzhwaGYTiOl5SUCAQCqPiLjiMB" + "D8fxuro6qVRKR4zPCNBqdjiAms1isWYKY/6Evreb9vsMT/45OTidzrFqs99QoDLm0X2VN+dEohEh" + "mExmSkqKVCql5wmNmQJwK0kkErVaLRAIIKYXAUReEIjLysq4XG6UukkjRgFmF4FAgGEYm802m81b" + "tmwRCAQulwvDsP7+/oGBAZBDysvL6+vreTwevTzSABAEUVhYeO7cOSTgUSGVSpcsWSKRSOiI8RkB" + "OlQ4HFgsllQq5XA4s3Iqz8qHigQGgwFy6qYEMIxQMn2q2owcJpMJYnHNZjPs3zRoxBrYbHZmZiaq" + "h0SDxowASZIsFqugoEAul4c6q0mS5PF41dXV6enpUeogjVhHeno6n8/3er0weZxOJ9Cp4DgOpZg4" + "HM6qVauysrLoAGAaCEwmc/78+QKBIGhWQOpKVVVVbm4unWIwU0Cr2eGAuJ2i3REaUwmxWDzlcfLR" + "MitCsRBspEAIDRqxCcTVR4PGTAHEZM6ZM0epVAb9hBKviouL5XI57VaiEQSYD4WFhTk5OXw+H1Rr" + "NElIkgwEAn6/Pycnp66ubrb6cmhMGnK5PEj1gBkSHx//s5/9TK1W0xNmpoBWs8cBLRrOPgiFwlkT" + "4ycWiyGjgVZjaMQyaD2ExowDTNqCgoLU1FQooIAAXEQFBQXgyqbXXhpBgPJdPB6voaEB3JIkSVL5" + "UyC9/4477khISKA5aGkEoaSkhM/nU49AGktycvKcOXNoQqUZBPrbHge0aDj7MJtEIkTMA6Tx0e4O" + "DRo0aMwSwO6vVCpLSkpkMhnyRoK8K5PJGhoaMjMzaSGBxlggSXLhwoVisTjUDh4IBORy+dKlS+kk" + "WxqhWLhwYWJiItX+AjksixYtkslkUewYjYlifDV7GnSS2aT20Jg2TLrWGuxnUzvrosVFj246m1z0" + "NGjQoBELgFjfefPmQVlsAKy6xcXF9fX1UqkUo83xNEYDzIry8vKMjIygsqnwd0FBAZ2VTWNUxMXF" + "lZWVCYVCmB5g2lMoFHfffbdCoaDtMjMI46vZ01AIF6oB3dBb0Jh9IAjieiKlp6oiOjQilUqjS0dP" + "B43ToEGDxo1AXl6eSqUC1QjkXRaLNXfu3IyMDHrVpTEWYKrw+fzExEQOhxMUGY7jeENDg1gsjlb3" + "aMQ4ampqUNw4aNpZWVmQYkDr2DMI4dRs2D9MJpPdbr9xajBJkgaDwe1236D2aUwCU6WC3iBA36xW" + "q9Vq9fv9E+0qutztdl//YyIG0SgWzcZopnEaNGjQmGqAaqRWq5OSkqA4E0ClUs2ZMycuLo4ul00j" + "PEiSXLJkCcSNUynQ2Gw2uCuj2z0aMYuMjAxECQGmveXLlysUilgWzmmEYnxvNo/Hu9EsiHw+n2bz" + "jhHEuIJNBZfLDbUQRw6fzzclla5huFgsVnRZTIaHh+12+0x5dzRo0KAxU8DlcouLixUKBdRhwnE8" + "Ozs7IyODlltohAdIzg8++GBBQQGqjA2iQmpqal5eHs0xTmMsFBUV5eTkcLlcWGdUKhVk8tMTZmYh" + "nGIA71IikfD5/Bv3XnEcl0qldFpp7MDj8RgMBpfLFbOR/DAbBQIBSlwZ68xR1U44XyaTCQSCqZrY" + "UV/4EhMT6fAzGjRoxCbISSHyxsPfNPQ06k+jnoNOgHyc3NxcmUzGYDDghLy8vKSkpPA9DPo16Haj" + "/ndqEeHoxSZmwSNgI3HjYrG4qqqKz+dDuC/8u2DBgvj4eCwGhAcaMQiSJOPi4ubPn4/ckCUlJUlJ" + "SXT4zIzD+NTEJEne6Jc6DbegESGsVuuVK1d6enpycnIyMjKmxMICm+WUv+Lw08br9WIYBuTbo542" + "0VTqsW4HBy0Wi8/nm1CDUwu5XD6FVgMasYmx5M5YeO+T+MwjueQGrR40pg3wBgmCCAQCoGNEfm0k" + "J4M+hqRPNGGoehr6iRq1i34KmmNI+4X/BgIBJpOZn5+vVqtbW1t9Pp9MJissLFSpVFhYDT8QCEDR" + "JoyiNKLbBd39RgDdOpLPh9o9RKh+gzo2LgiC8Pv9s6N2Bsyf+fPnf/nllyaTCY4oFIoVK1bIZLIZ" + "YU0IP4ViYcJQOzMjFIownaSuP/Pnz//nP/9psVgwDGtoaJBIJJCcOM0POD1DGvtvbXIYfxWjB/cm" + "ASQOXb582ePxpKWlxcXFTdUmd4ME5TCLFI7jVquVyWRyuVwcxzkcTmh030QXDli+xxL7or5T0jyC" + "sx6gqATpABiGMRgMCGSNXtcwkiSBJYHJZEYeSQszNgyhCzQ745h4Z4ScNz0AfQnDsEAg4Ha7uVzu" + "hOybkRBewHyDTwPUePA/M5lMRAyJXIhwCTnio0bVjOFvcFaDeoz6D/+mpqaWl5efOXNGq9XGx8dn" + "ZmZCqjb6JEN75Xa7WSwWfBFBt6Z2Bu5ONQQwmcwpYfrw+/2otXF3KOik3+9nMBgwAtEKiYcxdzgc" + "IpEIi+reOuqtqZ92kMUEo3z7QdcCWf3Q0BBMsPz8/Dlz5uA4HggEJv2ug24x5WsO+oLCTCH4smDC" + "TNSIdiMAkyeSCY9NcGoFvfcw/40EYYYUvjswM+Xk5HA4HNhbExISYMIEyQAR3nrSuxKsIVQWpPDm" + "/kk7A6JzoRVCAAAgAElEQVRVr+dGYzYYC2lMDkHaL3gDkpOThUIhFHKcklvgOO5wOEiSlEgkE+rP" + "dUIgEDAYDAh9B/kpqOXIbwRPYbfbmUwmxH2FnjMrVwcaMQW/3282mz0eTyAQAGECx3E+ny+VSsFp" + "FsVJSJKkxWLxeDwTysWw2WxsNjtMyIzX63U6nXw+HzHBxD58Pl8gEGCz2XTiLkmSPp8PJm1bW1tz" + "c3NKSkpycjIWsYCblZUVvn0cx30+n8ViEQgEAoGA6kP2eDxarRbCmuLj43k8nt1uh1RYFosFDJpc" + "Llcul5vNZrvdrlQq+Xy+2+0WCoVerxd+dTqdLpdLKBSKRCKfzwfv1Gq19vb2dnZ2slgsNpsNyjlV" + "kQY7b1NTU3p6emZmJhTFAJeUUCgEE7BAIPD5fCwWy2Qyud1uJKGyWCy5XG4yma6/eERJSYnD4YC7" + "jBszAtYQFovl9/vZbLZSqYzKkgJvsL+//7vvvqupqdFoNGKx2O12Q/emuT9yuZz6XxBmCIKAyYBR" + "YuKAA5XNZnM4HLvdzuPxQEcFmlXQlJKTky9dugTrQ0FBgd1uHx4entxDkSTJ5/MJguBwOKCcOBwO" + "mPkEQUDfrmesYA4jMw3M/FHDlUmSNBqNUqkUGLDhc5j0fa8TBEE4nU6DwQDfaRjrLSQ8czgcgiA8" + "Hg+S60CZHNUUaLPZMAxjs9lOp5PNZsMng+M4pNxPlIDWaDSKxeKgDxMtHTweTy6XM5lMvV4PDjCS" + "JCGdUyqVBgIBPp/vdDrBSujxeMI8LDTLYDC4XK7f74cHnJBmThCEy+Xicrmw3Hm9XjabLRQKQ5nz" + "XS4XzEw4AhYBv9/v8/n4fD7MIq/X6/V60XIHhlcmkwkWyVlJok6r2TcvYD9D3zn8q9FosH+3r18/" + "whtrqdZfan8mDXwkcxvDMBCApsQtD0tG1L3WNG5CwEbb3d395ZdfXrlyBYoswv6XmJh411131dTU" + "iMXiqOxP8EUYjcY1a9Z0dnY+/PDD9fX1kXBtuN3ujRs3xsfHL168OLTz0Gxvb++uXbvq6uoqKipu" + "UP+nELCUmUwmk8mkVqtvcq4aWC2bm5s3bdrU19fX2dnZ09MjEomAQiLUDYiNpnuXl5eHvwWO42az" + "Wa/Xq1QqqVTq9/udTieHw+HxeAaDYWhoCNRsjUbDZDItFgvMTA6HY7PZrFYrh8NRKBRWq9Vms8nl" + "cg6H4/V64+PjHQ6H0Whks9lut9vr9fL5fA6HMzAwYDKZGAzG0NDQxx9/vHnzZh6PJ5VKg1KToFdD" + "Q0MdHR2pqakpKSkul8vlclksFoIgpFKp1WrFMIzP53u9Xh6PZ7FYXC4Xih5nMBgSicRms4Ep7Xp2" + "nMcff7ytrU2n00kkkvCbYCAQsFqtgUBAJpNZLJaEhISHH354wYIFN5SXJxTwsD09Pa+99lpjY2Nm" + "ZmZmZmZxcXFvb6/dbsemXc1eunQptW9cLvf8+fNOp7OiosJoNOI4LpfLQYdpa2uz2WwqlUqj0Zw4" + "cSIjI0Mikbhcru7ubpIkExMTu7q6Ll68CDo2hmEnTpyw2+0g7Uziofx+f25urtPpTExMVCgUHA7n" + "woULFosFJpXRaMSmQs22WCwcDofP55tMJoFAMCpbm9/v7+vri4+Pf/TRR2tra+EjitZO5HK5tm7d" + "+q9//Qu8LGN1w+/3p6amgmnA5XJdvXo1NzcX1DyLxeL1ehUKRdAlOI43NTXBq2xra5PJZIFAwGKx" + "sNnstLQ0DofT0dExoaiE3t5ehUIRpKyCT+jatWtCobC+vl4ul+/atQsSDXAc//rrrxsbGwsLC61W" + "a1ZW1uXLl6VSqdfrHRgYCC+uEwTB5XKTk5NNJpPZbJ6Qmo1hmMfjAUuKWCxWKpXDw8NyubykpIS6" + "pJAkyePxOjo6CIJIT0/HMIzBYNjtdp/P53A4+vr6ioqKhoeHRSJRf3+/Xq+HDvj9/pSUFPkIFi5c" + "qFQqZ9+mSavZNyOQk9ntdkskEuqyiHb68NdGeCM4Mww1F+jzsCC6XC6r1SqTyRAh5/XcHc7kcDgR" + "djUM8BEuQGwknmesc6IIm80GRs0oghxBdLsx+wCTubm5+bvvvtNqtaWlpfHx8Uwms6en5+TJk8eP" + "H3/ppZfuvffeIL0OhZYFSXKjho2AJh+q6447saG1ixcvbtiwoaWlJRAIpKamZmVljeX6QPe6evXq" + "unXr8vLySktLIToUaRpgcWMymVqtdsOGDSKRqKysDLFP4ZR01qCFK/Rh0a/Upw4aDWpro2r7aBxG" + "HV74L5LqeDxe0KJ6EwKGZXh4+OOPP96yZQvIdiwWy2AwTCi35fz589fTDWTAvc52UGtsNhs8Xa2t" + "rRcuXBj3/J6enomuhzC9wS05+b5iGIZh7777rslkirDmJXW64jh+/vz5NWvWFBQUTOc0BjWjqanp" + "yJEjw8PDw8PDx48fj4+PNxqNk6A+wa877/3w4cPYvy+DRqPR6/Wq1WqXywUeQjhuNpshDkIoFA4N" + "DclkMjab7ff7jUYjSZJSqRTYW0CyYjKZFy5cGGtORththULh9XrFYjE4Zg0GAxiYIDsjaIWcdJRv" + "6JI7ViMXL1589NFHn3766aSkpHE7P+WAPeXIkSPvvPPOpUuXxvUty2QysCD4/X4wscEC7vF4CIIY" + "NXhqaGgoEAjAq2SxWFCqhiRJhULBZDLNZvMUsvPgOH7ixAkul6vX62HaEARx5MgRDMOUSqXb7ZbJ" + "ZAaDgcfjEQRhs9mCdjHqZoeOKxQKh8Ph9Xoj/yhCm5JIJDDNINoFnUmSJBgpUOAqjuM+n48gCK/X" + "a7FY4uPj4UKbzUat3ywWi8EqyuVyX3nllZUrV4rF4qinHkwtaDX75gXEvQRN6HEFa0iVnNBnECZ4" + "0m63BwIBoVDIZrN9Pp/JZIJPbqzzJ6rhj6pRAGAJiDzGaayngBGTSCQQThNh96YcHR0dOp0OiCin" + "/+4wzi6X6/LlywaDISEhAYsB08NsApjq/X7/7bff/stf/jI1NZXBYOh0uoMHD3700Ueff/55VlZW" + "bW0tRiF/QuI1PlKFCJmQIS6OqqOGxpKQI3nR4T8QEE12796t1+vFYvHZs2e7uroyMzND9VWUWw7L" + "DuyvTqcTfTVwRxCYwDMwPDxstVp9Ph/SaeFzg7B5qKIHXn1qID019ix0KKi/QuYwVVWmjkDQr+h2" + "1K7C+dBbGCiJRDJugsysB6Qft7S0tLS0gNcOFNRRLSAQazoquwQ4flEGNZfLBU0MfoWJDTKoy+WC" + "+o4ejwfCQUmSBAl13GgmxBFAPYjiQtERCAPGMIzFYqH55nK5YH7C1IJ+wjmQfgnZzgKBAKJ5YccB" + "GRSenRpQ5vF44CD6acJDT8Hw8DCkWEeisaPHh/nc1NS0efNmtVoNGsj1dGNCIEkSKlOCxohhGERW" + "T6gPVDFmQtsQKAlutxve75UrV4JOgNauXLkSqoGAqwA8dcPDw1RlRq/XIzI8mK5BZjsWi4WWL3Cb" + "4zgOqQSBQADyC5AWB3oI5OJBsjdcxWKx4CB1mYJhhOinUR95VCIANJ9hxR5rCsFpMEvb2tr+93//" + "V6PRPPLII2Pl1t04wOZ17Nixq1evYiNhjBiG4TjO4/ECgYDP50MlYN1ut9PpBJ0QLrdareNKjPCr" + "0+nEMAyio2FLNRqN8KmGeWQ+n4+8IMAZBG8TPk/IiYAGIYja7XbDtAdHEU5Jetfr9RiGQXAHWBNg" + "/NELQm8NVjB4uQRBoBiHCb0aNpuNotYh5gWSMWGcgwALI9wIAWbIwMAAmkXIOIXjuNPpBDMBhmF/" + "+9vf5syZM3fu3FmWpE2r2TcjkNclVKEdd5UxmUwikQhCsiPEqG3CwZMnT+r1+rq6Oo1GIxAI5HI5" + "LIhjCWQ6nY7P54tEogg/wlFPQzqh2+2WSqVoIRv3KUY9J9TNFRVQzZnRAmT+TAl5D41QQHZcaWlp" + "Xl6eUCjEMEyhUOTm5nZ2dq5fv76tra2mpga2Q0QDY7fbIZMQLkdhI9iIaw5aBnGNw+GAEwYddDgc" + "o64SCOSIK/vw4cPJycnFxcXHjh07ceJERUWFUqnEKN8F0kmoljUmkwn2dWxkAoMA5PF4GAyGXC63" + "2Ww+nw+kECQ5gcUB8tNA74JHttlsfr9fKBTCLo40cAzDHA6H0+kEN4VIJAIpFi50OBwOhwPGRyaT" + "oaQ+6I/H47Hb7X6/n8PhiEQiDocDShGocBaLxWq1wggrlcowYTg3IUiShKxsGOr09PTCwkIulxu0" + "kAYCAbFYnJiYqNVqQYajNnLkyBEIoobgbY1GAyHc8FqBmIDP5zscjs7Ozvj4eIFAMDAwIBQKk5KS" + "vF5vR0eHXC5PTEwcVbKE6cRkMiEUXCQSUaXz9PR0h8Oh0+mQlKlQKMAPk5SUpNPpINca7JvQmlgs" + "BoU/EAhIJBKZTGY0Gu12O5fLzc/Ph1zxhIQE4CO4evUqSZIymQwKmoIRobu722QyqVSqq1evZmRk" + "JCQkXM+qfvToUSzs/ks9Du8F8j/BXvD5558vXbq0srIyNMrjhgJZK9AwBnU1PJAljpxgvhgoqGVl" + "ZX19fXq9HowsAEg3dbvdbDYbcly9Xi9Yc6hqNsSEj5UyA2NO5XSEecXhcMRisclkAp+exWLJyMhg" + "sVh9fX0sFsvlcmVkZNhsNq1WGwgEOBzOnDlz5HJ5S0tLX18fZBdD7rfP56Ou1eiFQuLrqF2CQUa/" + "Up8F9nFYD8cSC8mRFG6YMzqd7sCBA8uXL+fxeOM6bKYW8IHDsgCmMVgNILrK4/GYTKaEhITExESB" + "QNDZ2QlH0IZC7epYPYcdITc3d2BgAMwZVFuGWCw2m82h5gxQdzMzM69cuQJsiAKBID4+HkLBBQIB" + "n8/v7+9HFPQajUapVLa1tUESB/ABgQsaTIcwf+C9wCSEjsGkQi8UDI7UXX4SIAhCLpd7vV4wK2CU" + "WBuPxwO51tAfJHUgGyhMS6TnI7EQPh/0X5hpsGZeunTpX//6V3Z2tkqlmk07Ka1m0/g3DA0NhadA" + "G5W1OzyCPj8EHMchLw6+OjabHRcXByvLWE3t2LEjLy+vqqrqelK4YTsEiRkJzZFcNepxcoTeNrrr" + "AoQwRevu+Eg+fE5OTlxc3DSLZTcJQC3U6XRmsxk2NgzDvF4veAtRfgTQwOj1+jNnzjQ3N9tstrKy" + "srq6utTUVJD/tm7d6nQ6ly1blpKSgmEYSZIDAwNvv/22XC5/8sknMzIyoB2tVvvdd99VVFTU1NSM" + "1SXYRA8dOjQ4OPjMM8+sXr365Zdf3rt3b11d3cKFC5H0Bnv/wMDAjh07WltbnU5nXl5eWlqaTqfj" + "cDigrpAkaTQad+/effr06eHhYYFAUF9f39vb6/F4Ojo6hoeHU1JSuru7PR6PQCA4fPjw4ODgwoUL" + "y8vLIbKupaXl6NGjZrO5rKysqqoqNTVVJBKRJOn1eoeGhr777ruuri6fz6dWq5cvX15eXs7j8Xw+" + "X09Pz7Zt2zo7O0EiX758eV1dnUQigbE1GAz79+8/ceKEzWZTKBS33XbbvHnzwGZhs9kOHTq0d+/e" + "S5cueb3e7Ozsxx9/vLa2FhT4GzcHZhCQqxn+W11d/eKLL0IRrCChlsvlymQyq9UKKcrURs6dO8dg" + "MIRCIZha4uPjwSsFL4jL5YK9xuPx9Pf3Q16owWDg8/kKhSIQCPT19YnFYliRwvTTbrczGIwgKwkE" + "5YLXCPqJiK8gvxo8z319fWazGcMwgiDA+AVdFYlEEonEaDS63W4Oh5OWlhYIBAwGA4SY8ni8oaEh" + "DMNEIpFIJGKxWCCODw4O2mw2qVTa39+fnJwcmiM6IZw7dy7yk2Eju3jx4jvvvKPT6UiShCzKqSVq" + "ibAn2IjiVFpa+h//8R9yuTzyDoAaAFqKVCrFJhgEl5ubq9PpwCNnMBh0Op1ery8rK8Nx/OzZs8nJ" + "yZBs39vbK5fLgfwZ6R5Go9FgMKSlpY2l24CjGxn3sRE1TCgUms1mULONRmNqaiqbzdbpdDiOe73e" + "pKQku91uMplATMrIyBCLxUePHj179mxRUZHb7VYoFE6nU6vVQp4wdRza2tpUKpVMJgsdBFDCLRaL" + "XC4PyrNlMBiwLIen/EBP/cYbb4B7E4ySEY72lAPpvSRJPv3009XV1RiGaTQan88Ha3hcXByHwxkc" + "HPR4PEGx1pGAJMmUlBSj0Qg+bXSQzWYLBALklQ26hMViaTSagYEBcHoD7SKEbHC5XNi/IGzH5/Ml" + "JCTI5fKenp7z58+bTCalUpmZmTk8PBwIBBITE1kslk6nk8lksLTCXCIIwuFwSKVSCKg0m82wEnZ1" + "dQmFwkmrrKAASyQSv99PDfOGl97X13f16lWZTKbX62UyGZCVkiQZFxfn8XjAWpSVleV2u41GI8xq" + "sFfm5OQMDg7CukqOhJpv2rQJvPfbt29/5plnYJuYNaDV7JsXoXsnQRAdHR0pKSlI0Aw6AcdxZFqO" + "/BbA0Bh0IbRcXl6u0Wg8Ho/b7QZn0VhNga6uVquBTjbyPqCekCMR8gRBUH3y4BxDSXeTAwT0Tvry" + "6weQ9ERd1b9y5YrRaExMTMRiwMM/yyAUClksVkdHx9WrV7lcbiAQ8Hq9+/fvP3nyZFlZGZSHAWv3" + "nj17vv76a2DlCQQCP/zwQ11d3VNPPTV37lyBQHDw4MGjR49KJBK1Wg1xXDt37ty5c6fX683KykpK" + "SoJYkl27dn3wwQcvvvjiWGo2fN1arfbIkSN8Pr+kpCQhIWHRokXvvffe0aNHS0tL4+Li4MxAINDa" + "2grH5XK5SCQ6e/YshmF9fX11dXVIH/72228/+uij4eFhjUbjcDiOHDni8/kMBoPRaASH8/bt23fs" + "2MFms/v6+mw2m1AoLCgoMJvN//jHP3bs2GEwGORy+bFjx+Li4latWnXHHXeIxeJjx459+umnLS0t" + "QqGQx+MdOHDg9OnTr7zySn19fWtr67vvvnvu3Dnw4V+9evXUqVPPPvvsihUrFAqFTqf7xz/+8f33" + "33M4HKlU2tzcfPLkySeffPLuu++WyWSbN2/+4IMP3G53VlYWn88/evSoXq+XSqWVlZXTNh9iHOQI" + "4L8ikUilUgHNeChwHEezhQqg5IwEyDyUk5ODDgIZz6QB3vJRf4JgDQzDxnqicZGWlhZ6ENJtMAwr" + "KSmZXLNUhBk9kJtDrUJ1dXVr166FFPrExESNRhOtYoGwWefk5Nx6663x8fHTeevMzEzqf4FaGcfx" + "O++8c9yIlXGt9hGa9cfFfffdd+edd0IUNNXTGHTa7bfffv33Cg8cx9etWzc0NORwOJBPdZqDxuGO" + "VKm1urr69ttvH1WkjEr2eFFR0Vg/5ebmBh2BaY8mXlBAOzUUJfSN42PkZk8twEbD4XCgTCM5kpkF" + "gTkMBgM6j4q9ITp0NptNLWIHceMXL14EFgmUU3Mj+hwtREHNnmbLKI1RgQQg6rvAcTw7OxuIlKip" + "y9f5vsJ4p0mSBP0QVsOxYpOwkayYuro6VMEi9IQw/USxNHAOKpEKW4LVag0trhBh+3AQymmMde00" + "IC8vb5plESrgxYFSBPwr9Dc+hYCNFjy0Fy5cWLNmjUqlcjqd/f39586dY7PZDz/8cH5+Pgx7a2vr" + "Rx99ZLFYHnrooYyMDKDb2bJly9DQ0BtvvFFdXT1//nzwxM6dOzcjI6Ovr2/r1q0mk8nhcJw6dWrR" + "okXJyclOp3Pnzp0ulwupE6GAl3727Nnu7u758+fn5+cTBFFXV7du3bpdu3YtXLiwoaEBujQwMPDe" + "e+/t37///vvvf+CBB+Ry+ZkzZz755BNgYIYE2rNnz37zzTcKhWL16tVz5851Op27du369ttvbTYb" + "cooODw+fO3eOz+c/8sgjhYWFVVVVDAbjww8//PrrrysrK59//vn09PS2trbPPvts7dq1WVlZmZmZ" + "a9asaWxsfOKJJ5YvX87n83ft2vWvf/3r6NGjEN/+448/rlix4uGHHwaq3o8//vjIkSM1NTUCgeDD" + "Dz/85ptvampqHnvssaSkpJ6enr/85S/vv/++Uqmsq6vbsmWLwWD4xS9+cc899/B4vM8//3zTpk29" + "vb2VlZX05B8VkOzq8/nw0ZIDx9I9kLwe+se4Z4Y5ORKEUYeCfkJZkdTI1dC/I+wPnDMlispY+bTA" + "nkgQRGZmJrWmN3B6Id1bJBJJpdLohiZBzLbX6418NKijhwYz8jtSz4eEagjMBlkFBccyKCXWqa8M" + "XT7WTakRtuguGGWqjDWBg+YSvCyCIEC2gVtDcg21Kcj6Hqszo45S5AMId8RxXCgUgphHXHcVuqmC" + "1WqFdCT0UoKm0OQoBqkvK/zBsX4NncmhrcGLg6BrlMmPxGM061BQG0aZHkEB/+jkSTzsqI+Gbg1C" + "O+Qp4BS+YbgEvho07CiTAh6NGj0BIfdwWlFREaTezCZle7rVbDIyWh0aNxoEQUB1RzT7IepDpVKB" + "U1Sn0zkcDrVazefzJ23PhqvCMI3DAh3+iyJHyuRcvHhRpVIFWZrRObCkApUa2MxQmxCParVaVSoV" + "5HUrFAqqbIGKsoQBdRUL/RWElShuMDabLYoEbChovLi4WK1W01/35BAmKwz8xi6Xa3BwcOfOnXFx" + "cUwm02azmUwmjUZDjULcvXt3X1/fSy+99OSTT6KUQqvVumnTppaWlvLy8ttvv33jxo2nTp3q7OzM" + "yMhoamrq6empqqrq6+s7evToXXfdlZyc3N/fPzg4mJeXN+rnBsBx3OVy7dy502q11tTUqNVqr9eb" + "nJxcUVGxbt26s2fPzps3D0T2U6dONTc3V1ZWrl69Gtx0RUVFPB7v//2//9fT06PT6Xw+38aNG7u6" + "ul577bXVq1fDojR37lybzbZx48b09HRwdUK07eOPP/7iiy+C3+/QoUPbtm2TSqXPPvvskiVLMAy7" + "5ZZb7Hb7F1980dbWlpiYqNfrBQJBfn5+QUGBWCzOzs7Oz89PSEgQCAROpxPHcbVaDfaLkpISiUTi" + "8/kUCkVLS8v27dvT0tJeeOGFsrIyr9ebm5ur1Wr/9Kc/7d+/v6ioCNYBCNLjcrmrVq0qLi4Gp8Ss" + "EQ6mFiDsTrSCETLRhv4x7plhTp5aBN0lTAci6Q+cMyU9H7URWEzi4+Mh25NBocuCEGWkKcUC0YZQ" + "KIT0rgkNCFI/JjeY6HxyJHcXZcCCkQgpPBABh+4SyU0hqi7013EncNAl0B+4KZBdYSN1vKlNjXU7" + "ajuhHY78WTgcjl6v7+npgfiIrq4u8GmPdbtpA2SkI47bMAM+ucYjPBjJr6P2jUppRk2jIEfKeqOX" + "i1FeIvWEUafE5BC6jkUSb4/6FnSQeoSkMClgGBat2JkbiulWsyFzQCKR0Gwx0QIK5G5sbCwuLoaw" + "OpCYe3t7NRoN5IPxeDwmkwn8QxKJ5AblHKK8Jmw88ZTD4UgkEpFIFLpegMHvypUrDAYjJSXFZrOx" + "2WyJRIKWJzDuWCwWqVRqt9vZbDaVKQTHcdBGxgLS81ksllgsDt3tMAzTaDR8Pn/cMhI3DkNDQ8Cc" + "GcXPCrLrkXUzWt2YoUDb6liatl6vhyjllStXlpSUQLzW5s2b9+3b19TUNG/ePKVSSRDExYsXFQpF" + "fn4+FB0BSWjRokUHDx7s6uoymUyJiYlFRUWtra2XL1+uq6sDapZHH320tbX1q6++6ujoWLBgwZkz" + "ZywWy4IFC8aKiQUTe1NT08mTJ9PS0pKSkiCXD8fxsrKynTt3HjlyZMmSJVAQ6OzZszabbcmSJdnZ" + "2dAlFot12223ffXVVwaDQSgUGgyGixcvSiQScL/DOUKh8NZbb/3xxx+Tk5PlcjmGYQ6HIzk5ecGC" + "BQqFwuPxsNnsgwcPDg4OZmRkQC46tOzz+Vwu17Vr13g8XklJyaVLlz755JMLFy6Ul5cXFBQ0NDRA" + "6lpRUZFKpfriiy+6u7srKiqKiopKS0tVKhXE1dvt9szMTLPZ3NjYePXq1YSEBCD1PXPmzNDQUGVl" + "ZUtLy3vvvbdhw4bKysply5aVlpYqFAralT0jgPYFdCS88k+VKZGuNVaz47YTXf8w3HrUEH1sZBzg" + "HLCMTGvnQqBWq5HOMKFBm5IRxin8ptS3Nlbjkdx0ql496g/130nc7nqeBRuh8hYIBCAXCQQC0Pnp" + "ZfA6Qf30gmJOQ/8e9+CUI/JFLPQ06hHkuIaDLS0tVqtVo9HMpvkz3Wo2BLpEfe2mwWAwQNZER3g8" + "XlJSEjh78ZFMObfbDay/N6IPSOEP79OGgxKJpLy8fNRGMAxzOBzDw8OQawqkHSjWBeJb4uLigNTR" + "YrGAhkCNh49kVwDut9DTyJEyDNG14NrtdipNRVRAEITX64Ugpej2ZGaBHKljTxCEQCAY1aCL43h+" + "fr5MJlMqlcuWLYNvAcfxxMTE1tbWkydP3nPPPSqVym636/V6sOLjIxWGcBzPycmRyWTXrl0DwtUl" + "S5bs3bv33Llz/f39ly5dSk5OhqSDXbt2tba2Dg4OHjp0iMFgVFVVgX47VrcPHz4MPva1a9dKpVKw" + "qV+9etVoNJ48efLy5cv5+fnwjQMpFBjvsJHCOUKh0GQy4TgOtKUajUYmk4FwDwoJrEjUqEiv1+vz" + "+YAyisFgKBQKLpfb1tb2P//zP1BElMlkDg8Pg5OZzWY//vjjZrP5xx9/XL9+/datW2UyWW1t7ZNP" + "PllUVFRTU/Pkk09+9dVX27dv3717t1wuT01NfeSRR2677TZ4wMOHD3d1dfn9fqvVCnTWXq83PT1d" + "KpWuXLkSx/FNmzZ1dHS0t7fv3Llz4cKFTz/99Jw5c2alPX6WgSCIICoNxgiC3h3MQ1R9BwImYZ4H" + "+TyxkVJ5YUr7wExmUkrKRQuRmLbtdjtigIsWIGMzun2gMRZwHCcIQiwWA3++y+XSaDQQLUzLADQm" + "AZ1OF0Vn1Q3CdKvZbDZ7QhxaNKYc+Egg9/z586l6I5fLDeL3I0kyfDmfKQGsyH6/n1qWdiyMqg+D" + "zki+8ZYAACAASURBVJyfny8Wi9lsNtV2YLfbPR6PUChEgXASiQQo0FCFnnHNckjPH+tXHMctFgsU" + "XYjwqaccUedgA0TXUTNDASOGMujGsv6iBHiv1wsJAkwmMzs7Wy6XQ90gbKQaPDXGDK4F/dbr9cIk" + "KS0tTU5Obmlp2bdvX2dnZ0lJiVqtViqVCQkJJ0+e3LVr18WLF4uKigoKCsYyHjEYDGAuAfrAY8eO" + "oenHYDAEAoHFYjl58mRtbW1ycjJUYAJ6PLDf4TgOlWC1Wq3FYklLS2MwGFarFUrFoqceGBiwWq0d" + "HR16vT4xMZEgiP7+fiDgBf0Hslo0Gk1DQwNkTpIkKRAI4uLigFytpKTk1Vdf7evru3z58smTJ0+e" + "PLlx40afz/eLX/wiOzt71apV1dXV586dGx4ePnHixI8//tjX1xcXFwcDWFJSAnHvUIkUUsiqqqqy" + "s7M5HM5zzz13yy23aLXalpaWPXv2bNmyRalUQjmWUV8ijdiB3++HWncwkcDOC+pBkKcaPkngJQYK" + "cRzHpVIpUKMxRipgYxgGtZdQnblRDbI+n0+v1/N4PLlcHl2zbCROzuHh4d7e3vz8fBR5O/2AFYaa" + "5EUj1kBNNb948SJ4I6PbJRozFKO6smY6aKbxmxEoCprH46GCDaHm7Rs93ZH6CmoqQRASiSSML2gs" + "HRvDMD6fD0I2EptA4N6zZ8/g4OCyZcusVmtfX19VVZVGoyEIQiQS9fX1GQwG0AEm9KRB3YC/wV83" + "0RGYWkRRyYdbBwIBSBGfULVSGgCxWIxSrUaFXC4H8R05qyGMAty24GcDx0JXV5fb7aay1+j1eo/H" + "k5aWBqXp4+Pja2pq/u///g/osh977DHI1ayvr//iiy/+/ve/+/3+J554Ii0tbdRPA153e3v7tWvX" + "KioqHn/8calUChoyeK0JgvjrX//a2Nh4++23azSarKwsoVDY1dWl0+nA6c1gMLq7u3U6nVqtVigU" + "MpksMTHx8uXLHR0d1dXVUAYWx/HOzk6LxaLT6Ww2W0JCAjwURpnqEF5eU1Pz0ksvqdVqOKjVaqG8" + "E4PBuHbtGpfLra6urquru++++86fP//rX//6wIEDd999N4/HCwQC+fn5EBrQ19f3q1/9aufOnRcu" + "XMjNzeXz+WlpaT/5yU8QW7XBYDh+/Difz2cwGO3t7RwOp7i4uKqq6pZbbrnrrrteeumlK1eumM3m" + "8OWjaEQXsHo3NzcfPHgQAqkIgoBaxHl5eVBNB/F6gPN5cHBw9+7dp06d6u3tdTgcLBarqqpq5cqV" + "BQUFbDabxWJBaS6ZTLZjx46rV6/edtttRUVFQRsZ7EenT59es2ZNSUnJypUrQ2ubxRri4uLi4+Oj" + "63i3WCxRzw+nER7U6QEV6aLYGRozGlEUYm8caGn45gVI5+i/0dpKwagPJQHCm8zD9JCaa4ePJHt7" + "PB4owCsWiy0WCzwvSZIej4fH40HNHq/XGz4xO/RGQfYIkNsgNymKAsFESWJuBNxud3t7u16vh4LM" + "sSxBxiDCTH4YSbVaDXEZyHUA8SaZmZkDAwOQmc/hcKqqqs6cOXP69OmysjKZTMZkMu12+969e41G" + "I1Q1xzCMxWItWbJkx44dTU1NlZWVhYWFMH8WLFiwefPm06dP19fXFxYWIn7EUPj9/q1bt5rN5qef" + "fvqRRx4JqpuC4/jQ0ND7779/8eLF2traysrKpKSk8+fPQ+FZPp9vMpk2btx45coVUGIlEsmdd955" + "/vz5xsbGqqqq/Px8DMO6urqOHz8OZV2h9h6UNEMREziOFxUVxcfHnzhxorm5edGiRTwez2Kx/P3v" + "f9+/f/9//ud/Ll68+N1332Uymc8880x2djYUAIuLi4MipZ999ll7e/tTTz21YMECLpcLvMpQ+KCi" + "oiIjI+PEiRPHjx9XqVQ8Hs9oNP7zn//88ssvn3zyyccee+wf//iH1WpdvXr1nDlzoP+wAtDRHAix" + "OQ7Qqy1btqxdu9br9aJ5K5VKZTLZggULnn76aaCyg3W+tbX1008/3bt3r8fjUSqVXC7Xbrdv2rRp" + "aGjoZz/7WVlZGUSAs1is/v7+L7744tSpU0aj8YUXXgCHHjJhkyRpMpnWrl27efNml8t12223xcXF" + "xXJgLUmSCoUiPj4+uv6l3NzcGx1PR2MKIZFIJuq3oEFjdoNWs29GwCI4VkXQaQZSU6+nEeS+ph5h" + "MpkrVqyQyWQCgcDtdgNfMbjRID4WgswntCX4/X6n08nj8YKKVHs8nqCSCdPMAlJQUKBWq6O7vREE" + "4fF4gDSS3minHFCRDqVF4CPlSdPS0n744QetVgsH77jjjoMHD37zzTccDqe+vp7NZjc1NW3fvh3c" + "tmjeFhcXV1ZW9vb2zps3D2K2MQwrKCjIzs4eGBi49dZbqfWHqYCXazabT5w4kZ+fX1lZyWAwUKEm" + "CFlnsVh33333999/f+7cub6+vvz8/IaGhm+++ebDDz+0Wq05OTn79u37/vvvzWazVqs1m80EQSxf" + "vvzChQubN2/+5JNPHnzwQZ/P99lnnzU1NYFdDIp+UT86+OSLiooaGhrWrl37/vvvm0ym3NzcAwcO" + "bNmyRSaTyWQyiNk5dOgQj8e7++67DQbDgQMHuru7582bl56efvz48WPHjpnNZqfTmZqaevjw4ePH" + "j2dmZhYVFSUkJKxYseLdd9/97LPPXC5XYWHhrl27NmzYIJFI8vLyRCKR2+3es2ePXq+vrKxks9kX" + "Llzo7e0FcrVpmg0xj1j2S2i1Wq/Xu2LFCqgJj+N4f3//1q1bv/7667S0NBTiZLVaP/vss23bti1e" + "vPjOO+/MyckRCARer/fUqVOffPLJX//611deeaWioiIhIYHJZPb29kLkxYEDB5YuXapWq1FQD2wN" + "wBcI5rBYHhwEn88XdU8y2K1mxHDRwDBMJBLBt0O/Mho0ALSaffMiRnShsULWJwq/3282m3k8HrCp" + "YRjG4XBAJRYIBG1tbcnJySB5K5VKoVCIYdgkdHuSJKk+ELSXuN3uIIlkmoc3MTFxrOzxaQA+UtBr" + "zpw5CQkJseylmYlAdrGamhqlUpmUlAQjDP8uXbrUbDbn5OSAcJOVlfXyyy+/+eabH3/88ddffw1B" + "FllZWT/72c/Ky8uR2CoSie69916/379s2bLExEQgS1OpVM8//3xNTc0tt9wSJqgVkvEgZDonJ4ca" + "H4tCKtRqdW1trV6vh4CRZ555RqlUrlu37q9//SuHw/H5fLW1tVarVaFQgN9PLBY/99xzBEHs3Lmz" + "qalJJpMRBLF48WKTyVRYWAhFAeVy+dy5c1NTU1HHWCzW008/zWKxvvvuuz/84Q9CodBsNmdnZ7/4" + "4otz587l8/kvv/wyg8HYtm3bnj17XC6X1+vNz89/4oknMjMzn376aa/Xu3Pnzt///vdsNtvpdBYV" + "Fa1ataq8vJwkybvvvttms3399dfvvvsuOMnLysqeffbZ2tpagUDwy1/+MikpaevWrZ9++imPx1Or" + "1Q8//PADDzwASaSxsK5GFzAIUKKC/PcqwbEAyK9etmzZAw88gA5WVFT85je/2bNnT21tbXFxMUmS" + "jY2Nhw8fLisr+/nPf15aWorOLCwsvHbt2ueff7558+aUlBQUIcJgMNhsdmdn56lTp6qqqmQyGaIl" + "93q9hw8f1uv1DAYDSojH/iSx2WzA+BBFUUGlUiHSxNgfMRoQAEW/LBo0EGg1++bFNK+D4RXp6+8M" + "k8l0u91AyIQYaGCHxnE8KSkJyvyIxWKUwzmJzQC8tcg0gIppZ2VlicXioKyk6dxsent7TSYTFGOL" + "FlgsVswW9Lp+O07UwePxfvrTn/J4PKlUSn2QOXPmpKamogoOJEnW1tb+13/9V1NTExTZSk1Nramp" + "SUlJQfowaNq1tbXAQI7c4yRJLly4cNGiRdjYsxcOKpXKF154AYKlR+0tk8lcvXq13W5PSkoiCCI1" + "NfW5556rrq5ubm62Wq0ZGRkNDQ0ul4vJZIJdhiCI5OTkX/7yl3Pnzm1vb4+Pjy8uLk5OTrZYLHFx" + "caDJ3HPPPbfeemt6ejp6CgzD0tLSXnrppYqKijNnzrjdbo1GU1tbm5+fDwJfSUnJG2+80djYODAw" + "wGAwUlNTy8rK0tPTORxOamrqz3/+8yVLlrS2ttrtduBRS01NBYuDRCL5yU9+Ul1dferUKYPBEBcX" + "t3Tp0oyMDLhpfn7+yy+/3NDQ0N7ezuPxioqKgIKRli+piNmhgDWcJEkgFID4i+rqao1G09/fbzAY" + "cBz3eDzbt28fGhp68sknc3JygOcMQkhYLNYDDzxw8ODBY8eOLV++HAq5YRhGkmR6errD4YBEbolE" + "gorE9vT0nD59WiaTsVisrq6uvr6+goKCKI/CeHC5XHa7PbpTOiUlJUzqCo1YA9BYYrSmTYPGCGg1" + "m8YNBDVwCCLlxuJTARbWMKVQwgACShkMhlKp9Hq91FtkZmbCHyUlJRaLBYJO0QYwoW0A/A99fX0W" + "iyUvLw+8NFarlcfjQa4pMI0DXC6Xw+EQi8XTllfW3d2t1+szMzOjuLfBS4zNgl7w+mb6xp+QkBD6" + "CCRJIiJDbEQTqKqqqqqqCjqN+l8cx3k8HmTRU7+I8OW7EZhM5rh0sklJSagdIJqaN2/evHnzUH+o" + "nyFo2nFxcffee+9Yj5ybmxsqvZEkKZPJ7rzzzrvuugs9JrKqgIa/atWqoL7BdxofH7906dKlS5cG" + "HYcLeTxeeXk5tY4gGkMIB1i8ePHixYvhCOTMx+DMjwpgoIAQER+jynR0AXFJJEmCVcXhcDQ2Ng4P" + "D1dXV2dlZTEYDIPB0NPTo1AoID2Yuq2QJJmdnZ2Xl7dt27b29vbq6mooloFh2EMPPaTX648cOXLm" + "zJmCggLEXn758uXh4eGGhobBwcEzZ86AJh/VARgfcrk86tEZ0a3fER5BSw02RXYl9LyxP0NCIRaL" + "kdE22n2JMsIbGqjTJhIfAG22mLmg1WwaNxCQsQmkwVBRWSgUBqVDw/Lh9/uNRuNE+TNQmiiDwYCK" + "uz09PWq1WqVSBQl2JElKpVJIHI2wfTKEURzHcZVKJRaLUfaRz+cDW7tWq3U6nRiljrdOpwO/OjYt" + "Ww6q1RRFTKGoMeUwGo0CgUAgEMRm9yJEaMEhjKIbo0dDOdLoqlFrAqOfguZ5hN/IuFolivXAKWnb" + "od1GHYBz4CD6Cc6EP0Y1AaCW0Tngb0RtAllA6FBAB5BVKGiUQjsc2lW/34+uBSqscQftpgJ15GMK" + "HA7HarXu37/f5/OpVCqPx9Pe3v799997vd5bb70VqlG0t7ebTCaZTAYJC1SA91utVvv9fohgwnEc" + "phyXy122bFlzc/OBAwdqamqA4IAkyebmZgzDampqGhsbGQxG1OkqIwHU74h2L2IUJEn6/X5qNkRo" + "RdJxbbvI9xDaLI7jEyWOiQWAN5vWCeE9jlU3B0RHJpMJ60DQPjgq/H4/vcXMUNBq9qxFdFc6uPvA" + "wAAwNrFYLA6HE6ZLTCYTaISDGsEiUNuEQiHazOx2u9FohDhYqvMBx/FAIGC1WgUCQYRBaEEaCIqV" + "RSfgOA5Fg0iSzMzMlEgkUGjUYrGIxWIWiwUyyvS8heiWXUGIhT6MijCF4mYQwlSxHvUIfEFjXYXj" + "eOhPkTuvxlUVgk5AnQyzDqBuj9r4WEIGugpk1qBrUW7nqF0Kc0dqh7GQuY2uDW2WBiBmvzgmk+nz" + "+bZt23bw4EEej0cQhNlsNplMy5cvT01NhYlkNpvdbjdUfQu6nBypS09ddWEOdHZ23n333cnJyadP" + "n75w4QKkJ+h0ujNnzhQVFVVUVBw8eDDWMtXHQsxaSaIOgiAgbsvhcIC/ncPhAPMLRnFRgoOBWs8l" + "kpbdbrfJZGKz2UDzfqOe4cbAYDAgf0PMfv7TAzDgjvoGIRBSKBTyeDyquTl8azNuMtAA0Gr2bEbU" + "Vzp8hBkL1GxsNMHL7Xb7fD4+nx/qNBjXyIfahyflcrnFxcVWqzVIMAIHnclkstlsHA4nEjWbIAiT" + "yYTWQXQcxZQCoEIY6PBUiQQiyadz/KMrD8HdvV6vXq+HBPgodmZUIBnopkJsPvK4vZpct8MbCMK3" + "eT2/Tg6zgCxg5gLiHWpra0tKSvx+P4fDuXLlyuHDh7VarV6vxzAMx/GEhAShUDiWqomH1LZAIcQC" + "gSA9Pf3kyZMHDhyoqKhIT08/duxYf39/XV1dcnIy/cZnOuC9m0ymo0ePNjU16fV6v9+vUqnuv//+" + "0tJSRE2C47jRaATBJlSDAtnAaDRarVaNRgPSEUmSTqdz69at27dvT0tL+8UvfhEfH49FEDcUO4hu" + "+bfYgcvlOnLkSHFx8aiV510u18cffzx37tz6+no+n9/a2upyuWDyjDp6Pp/v8OHDmZmZqampE7La" + "0IgF0Gr2LASs4EBEgYiRphmwWKhUKovFwmAwRtVsQS7p6uqyWq0FBQVA7IQswTiO2+12v98/btkt" + "kiSR51kikfB4vCDXJTAMEwSB2J4m+iDU/yIBC6dUrRgcHARW89TUVKiURpKkx+MB7tmbZONxuVyd" + "nZ0Gg0EkEmExpkLEVGdo3OSA0ndQni3afbkZAfvF8uXLV69eDWFWAwMDf/7znzdt2gSV3tlsdnZ2" + "tlKpvHbtGpCfUW2maOVnsVihEVgkST744INNTU3nzp27du2aQqH4/vvvCYKAemChEVIxixjvXiim" + "gYAD3rtOp3v77bd/+OEHRBHn9XobGxtfffXVW2+9FZQlr9f7pz/9qbS09P7774eAO4wypDADt23b" + "1tjY+Lvf/Q5VWd+9e/d///d/+/1+pVJJteNE3WVCI0LAmzUYDG+99dbKlSsfeeQRsViMHNHwa1tb" + "2+eff261WsvLy/l8/vr167Va7e9+9zuoIYJkYLgE5tLbb7+dl5f32muvQUZk6Dlj9YeeOVEHrWbP" + "WjidTgaDMTlSsakCm83m8/kQVj3qp47juFKpBN04dIMEbTl8qAy0bLVauVwun8+HMC1quDiGYVqt" + "tre3Ny8vL3KXJo7jwP7icrmCCvZi/76owS3AFoAeE/7o7+9ns9lqtfom0bRxHI+R2HUaNGITsDI4" + "HI7e3t6EhASlUnmTfC8xFX7MZrN9Pp9Wq3U4HCwWKxAIJCYmNjQ07Nu3b3Bw0G6383g8lUqVm5t7" + "4cKFnp4eKOJIrcLl8Xh6e3uFQiH4G4NIB+rq6qqrq7///vuuri4cx9vb2ysrK0tLS5GvDznJY/nt" + "QwZptHsxAUD+6g2NrYXv95///Oe6deuWLl166623grO6u7v7o48+euedd/Lz8yEh32w2b968eXBw" + "8JZbboG8OWzETA9xwsDvkJSUBNIFED1s3769r6/vL3/5y9KlS4HBniTJ/v5+pVI5qoxEI9YANjiZ" + "TOZwONauXbtgwYL8/PygX9evX2+1WgsLC6FOR2lpqclkAuIYJEAijhImk+n3+3t7e10uFwTkYyPm" + "PJIkoWgCLCyhcik1+hKL7dVmFoNWs2ch4FuCwN0gW/s0g81my2SysX6FfqLyWqE/QXXrSICkFnCA" + "S6VSpNniOC6Xy0mShNYitO2hhamnp0coFCYmJlI95EAkLhKJQKtE3CdOp7Ozs9NkMqlUKhzHBQLB" + "TRJDhY9E7xcUFMTHx98Mj0yDxiQAnwaHw5HL5TOdkG9CCAqxji7A8utyuXw+H+TzkyRZUlISHx/v" + "druBrZPJZM6fP3/Pnj0nTpxYuHAh1HID1YjFYrW1tbW3t6vVao1GQ1IKg6MXumTJkkOHDjU2Nh48" + "eNBsNtfU1CQnJ2MYxuPx/H6/w+EIBALR3Z3DA8fxwcHBnp6ejIyMICtzDAJxwQgEgri4uBtk7SVH" + "ahB89913Uqn0V7/6VW5uLioaKpFI3n77bTRiXq8XwzBI4UZSB1RFYbPZIE7cddddHA4H6kSANmW1" + "WnEcz8zMhILhgUDA6XS+/vrrq1atqqmpCWK8pxGDgBkiFouXLVv2ySefHDp0KCUlRSgUIjpPm822" + "a9culUpVXV0NKQYPPvgglUSTHCm7g42kTML8oYaUA98tk8n0eDzg4IHLqVo6aOCIBPRGW6BojIXY" + "XeVpXCcmGh09VZho4BYiLprc7eBGcrkcbsrj8ahsRvCrVCqFQG5sIk4VWNqUSiWHw0ENwuVXr149" + "f/58ZWUlbJYqlUomk7HZbDabLZVKUdVuMDFMlaYd+8E/TCaTw+Gg7STa3aFBI0bB5XLHLYc2yxAj" + "CwJsTykpKcBSiURbHMc1Go1IJLp27ZrBYEhMTMQwbMGCBfPmzWtsbPzmm28efvhhtVotEAi8Xq/J" + "ZProo49MJtNTTz2Vk5MTusIHAoH6+vq5c+fu3bvXaDRWVlYWFRWBil5YWHjo0KEZIfKiutlR3Hom" + "ZJpxu903elRBiXI4HJCA5nK5mCN46KGHSJLMzs4O8hza7XafzwdxAWAFQOYVLpdrsVj4fD6Hw7Hb" + "7SdPnmxra7Pb7evXr3e5XJWVlQRBDAwMNDY2stlssVhcWFiI4s9pxCzgBT344IPr169fv379bbfd" + "lp6ejr6j8+fPm0ymFStWgPsH8vwtFktycjIkV5IkabFYtFqtzWbz+/0KhUIikaAaHBiGEQQBPDh6" + "vb6pqUmtVhcVFaWkpCBzGFD0GQwGt9s9PDwMlflkMlnsrzmzErSaPWsxtTFpkbeG7LUT9RtfD9Dy" + "MWoBEtR5kiQjr4uAj6SXBzWF43hcXFxBQYFcLvf5fC6XC/jSILAwKSlJJBLBtU6nE3G/XT88Hg+T" + "yYwkmXP6wxHJkTJm3d3dJpMpISFhmjtAg8bMQuzHDF8ngh5Nr9c7HI5odQYBFvD09PQgmkaUa331" + "6lWdTgcHExISXnzxRbPZvGHDhlOnTlVVVeXm5g4PDzc2NnZ3dz/wwAMrV66Mi4sjKaSYKCZcJBJB" + "+S6Hw5Gbm5uYmAgidXx8vEwmi/0AYJIkExMTs7Ozo5vx1NzcDIFj4fsAv6anp49VuXBKAM2yWKz0" + "9PTz58+fOHGitrZWpVJxOJxAIMDj8VavXg3GFGyEwPXy5cuffvppR0cHVNxMTEy89957ly1bBln6" + "+/fv37Jly69//eucnJyWlpb/+q//unbtmt/v37hxY2tr60cffbR///5vv/3WbDZv27atra3t9ddf" + "b2hoQLZsGrEJEDVLSkqKioqOHj16+fJljUbD5XJhkdm7d6/H46mvrwflmcFgbNiwobGx8Y9//GN6" + "ejqGYTqd7oMPPti3b5/b7SYIQigUpqWlmUym1NRUaN/r9f7www8bN268cuXK8PAwj8crLi5+9tln" + "a2trQQB2u91Hjx7dvHlzX1/f0NCQXC4vKyt77LHHCgoKbpIExpgCrWbPWkzttwTBdWw2e9xKuZcu" + "XVKpVElJSdNZ6I+aFI2NxlsGf0B1blT4OsKWqS0gFzeq7AVmBZ1OB5xzfr8fXQLBYFMyAiRJms1m" + "yAAf9+Sg0sHXc1NsghMptHYoDRo0QjHrv5EgP2R3d7dWq83Ly4tWf6gQi8XJycmpqamQGwlgs9kV" + "FRXg9kFvp7S09PXXX//000/37t177tw5qVQaCAQkEslPfvKTRx99FOyJ6HKVSpWVlYW8RkuXLj1x" + "4oRYLJ4/fz4UZ4LQp6qqKohliPEAJZFIJJFIomsO6Orqgo01EkAI7g0FREOsXLmyq6vrj3/8Y2Fh" + "4R133FFdXS2VSkUiEY/HQ1Z1OLOjo2NoaCgnJ6eiosJutx84cKCrq6uoqCgrK4vBYHR0dGzfvv2+" + "++5LT0/XaDSrVq16//33e3t7n3nmmZycHDDxQx6cXC4Xi8WgusfynKEBgE975cqVzc3NGzZsKCsr" + "S0xMZDAYLpfr4MGDMpksLy8PrDMYhrW0tOzevfvFF19MS0sLBAKffPLJRx99lJCQsHz5cqVSeeTI" + "kT179hiNRmgZx/Fjx4699dZbJpNp2bJlc+bM0el069evf/PNN//2t79B1MzOnTv//Oc/YximVqur" + "q6uvXLny5ZdfWq3W3/72twkJCfT8mWbQajaNcQDrBaiREDU36lcKpwExDMguRqNRJBJFnl99PUBd" + "Cr+CAOf5hCJnqA2CrisQCCA0HeQPsDgWFhYePHgQEqvQ+VMbty+RSMLn8iHSC7vd7vV6JRLJdebU" + "gaEk8hYgHSi6QYY0Zi4i4U2lMYMgEomAxxvibN1uN+Q8R/H9wuJfWVn529/+Nj09HUU/QeLSU089" + "5XQ6ge8XjhMEUVJS8vrrry9duvTy5cssFis+Pr6wsDAvLw+2NqSFpqSkvPLKK0BrB3fRaDQ///nP" + "jUZjVlYWulFpaalGo4Esp+l//AnB7/eH1gyfZkxolKYhQgQclffff79Op/vyyy9PnDhx9uzZ5OTk" + "zMzM0tLSe++9F2hc4ExIt16yZMnLL79cUFBAEMRbb721Zs2aY8eOpaamcjgccC2CCJGRkfHTn/50" + "z549165de+ihh8rKykiSfPTRR0tLSx955JH6+vpf//rXycnJsZ8nTwMbmYR33XXXmjVr9u7d+9xz" + "z6nVagaDceTIka6urttvvz0hIQHtd2AeAnNSZ2fnN99843K5/v73v1dVVfF4vIcffvgPf/jDhg0b" + "wIHh9Xo/+OCD/v7+N998c+XKlbAKKRSKP/7xjzt27EhNTSVJ8m9/+5vNZnvrrbfKy8sTEhKGhob+" + "9Kc/HT58+OrVq0DZSGM6QavZNCICSEvjOmZZLNa8efPABM7n82ON4oXJZAZRsk10Y0bn4yM1XSDz" + "SqlU8vl8q9U66ZbDA8dxquNlrI7BmVDF7XoGH/Rks9nM4/HGDdijwmAwxEJoKI0ZB0jogIl3/YEY" + "NKILWCFFIhFkD2EYlpycHBcXB2pb1ENe1Wp1kFcH/gY+M+pxiAFWKpUrVqy49957MYo1E/v3B5FI" + "JPPnz8f+PfQJSKcxiuNaKBTOFOo7fKZVjpiGrsItRCLRCy+8sGjRotbW1oMHDx47dqyzs3P3hKwy" + "6AAAIABJREFU7t3Dw8MvvvhiQkICQRAqlSojI2N4ePiFF16YO3duIBBgs9llZWVsNnvfvn133HGH" + "UCiEWQSGJ6/Xy2KxIDvX7XYD8bhYLC4qKuJwOG63WyKRICYtGjEOyOEXCAS33HLL2bNn9+/fX1BQ" + "IJPJtm3b5nA4li1bRg2ZQUnXGIYdO3bMaDTOmTOnvr4ecrA1Gs1LL720Z88el8sVCAQ6Ozubm5ul" + "UqlGo7l27RrcKycnh8/nb968+YEHHmhpaenu7l65cmVNTQ2UhUtJSfnNb37z0EMPHThwIDc3VyqV" + "0rNoOhFbWhCNGMSoKcpjncZms4E8BsMwiURyPfedWo/oWEovImDDR+gcwzSC47hcLkcrFD7Cc0aS" + "JGReUU+GpXMSYspY3QhiKx31HDjI4/GmJHwOCFEjORPuy+fzc3JyZnqNItoVP/0AHdvpdLpcLqFQ" + "CHXXacx0CIVCSHIhSVKlUonF4p6eHplMFvXARXCwh2b0EASBtgN0EFH1oryksVJygug/cRxHZgWq" + "7g0JmbG/yMD3SK+HofD5fFwut7KysrKy8s4772xpaenr63vnnXfWrFlTVFR03333MZlMHo+XlJTE" + "5XKRIESSZGlpKYfDMZlMIC0gkw3MOpTXDVZy+NXpdBIEYTabgbQ8xlP6pxnhJ+eE+POmHNCx++67" + "b+3atd9+++2DDz7IYrHOnDkjEAiysrLAnkJdf2BlsFqtJEk+8sgjsJjA+pmSksLj8dra2iwWi9Fo" + "DAQCOp3ut7/9LYQ2MBgMp9Pp8/k8Hg9BEJcvX/b5fMXFxTwez+fzQUhFQkJCSkrK7t2777//fqlU" + "GssfNfooYraHEwVt0qAxxQBJBbvuNW6qItagGx6Px+v1UrsEf7vdbmAvwyIrORMqHvH5fIFA4HA4" + "IH0dhUw7HA7YGic6DlBRJvQ48p+bzWar1Qo7LvrVbre73W5qgvr1AFkQIk9ixzAMpM8ZzTSOZi8V" + "kUwMGpMG0rF37tz51ltv7dy502Kx0AM+m4DjeE9Pj06nG5fdY9r6MypvCIPBGDWmHRGDw4Vj0QiF" + "tgkXBrnNZ0SVRyAc6enp8fl8VG8bDb/fPzg4aLVawbYul8sXLlz4xBNP/O53v8NxfNeuXRaLBRtJ" + "MUtKSgK6O5j56enpaIscFTAxqKZ8mC3hr7o5QRAEENAGHUeWiCCRb5oBAltmZmZFRcW1a9cuXbrU" + "1tY2ODi4ePFicDKPtYZgGAaGSACDwfB6vcg2x+PxoNJbIBAAFh6fz8fj8Z544onf//73ycnJXV1d" + "Xq+Xz+dD7RuYPwRBSCSStrY2g8Ew7SMxPqhDMfvIQWlvNo2IAItCJBamIGfvpO9lNpuhpOSU7C52" + "ux1koCAKMdjGMAwDtnCBQDAqVzm1b0HB82B0hLRDt9ut1+vdbjdYGScqTsGDW61WWCLH8mkDSWnQ" + "sFD1/wnFwKMk88j7OWo7GIa5XK5Lly7pdDqUtXg9bU4zYBxcLheGYbCToZ8mWqOORuQAHdvlcu3c" + "ufPdd9+9cOFCd3d3Tk5OaWkpNtOm0CzG5EQfiH2Fv41Go91uT01NnRFK5qi4qfQckiShDtD0O94l" + "EgmTyYxB3R72CL1e/9prry1ZsuS+++4TCAQEQfj9fhaL1dDQIBQKwVMN+4XL5XI4HFTvHOTfhlZZ" + "Hxdg/6WNj1R4vV6j0ThqaAxUeOnr60tJSYEcxqj0EPDoo4/u27dv/fr1GRkZLpfrrrvuiouLo55A" + "7R7kBWzatOnee++FpZIkSRaLFQgEkpOTBQKBRqORSqVMJvPNN98Ean0Mw0iS1Ol06enpPB5PKpWy" + "WCyLxeLz+UCMRDMw6lQL4QHeqZ6enjlz5qCyuLMAN9G2QWOi8Hg86LOEGe/3+6dt8+NyuVPC9oGS" + "qfh8PlV3QvHVMpkMPun29natVhv+AcGIGLrbgWLGYrFQRrpIJJJKpRGWT/B6vcjyKhaLxwr5hhXT" + "6/WGeq0FAgGXy53Q24HLR32cScPlck3CgR8LQPZvIKSh/mQ2mz0eT5T6NZtB9WP/5S9/uXjxIhjC" + "kGRAI0YAugSS9SN8O+CEQflEoa5dGjELkiQVCgUkSU3bKwPttLy8XCgUxuw+wmKxTp8+/eGHH7a3" + "t2MYBoZ7u93e2NjodruB2wx6LpPJhoeHnU4n2q8hFIJqrwkaW/g16CBKaqMjrahgs9lyuTyU0VYg" + "EMDxqKdmwHyurq5WKpX79u378ssv5XJ5aFUt5LVmMBjz5s0Ti8V79uzRarUQB06S5MDAQCAQGBwc" + "1Ov1cXFxMpkM0hays7Pz8vJyc3P1ev3vf//7devWWa3We+65RyKRnDhxwmKxIMHSbDZfunQpNzc3" + "jCM9ioD+sFgsh8PR2dkJMtismdi0mk1jdIB31+12UyPATSYTss7eUJAkKZFIJhSxHB6QrhzqjqB6" + "KcMrnPCTVqvVarXUYCTY5+Li4qB9lLMXof8T2rHZbBAHDs7qUPsCddGhGjvQwYm6W1EiUGdnJwS5" + "TQlmuhgtEonEYvF1UvdBEt1UdWm2AunY27dvf+eddy5evIjjeGlp6WOPPQbVbqLdQRr/n73vjo+q" + "Svu/d/pkaiaZ9EnvBVJIaCGKgIAiICqKbVfXFV9QKWJ5XfVddd1i22Wt79p9wYLIAoqgstIJRVoK" + "hFDS6ySZZHq/vz++vzmf60wypExCgjx/5DOZuffcc095zlO/z/8nl8sFjudyuRwOB6KI/YtBYAKx" + "sbHBwcEUi039mrWCMUF8Pl8qlULir6+vv3jxIilROWLU0NBgs9lG4VECXVetVhcVFdXU1PzjH//Y" + "tWvXmTNnvv7667feeutPf/qTSqVC7ivtASshChXuDQoK0mg02D6U59Rmn90TJ05kG9kZhpHJZACL" + "rq6u7urq8jIBMx7kyJEbhVFDXC4Xvl8yejg1srOz7733Xo1Gk5iYOCAA14ATJl0qlSJdv7m5ee7c" + "uewqgGyCvSArK2vSpEkikejVV1+tqKjQarVlZWX/8z//09XV1dnZCSnxtttu4/F477zzTmlpaVVV" + "1YkTJ5577rnKykqJRMLlcidNmlRYWLhz587du3e3t7frdLqmpqb33nuvtrZ24cKFYWFho21bMQyT" + "kJAA45RAIFCr1dg1Q+kn5NtREgNyNWj8EjQKDT8jQzRN+1ZpFggEIwAejqxsgUAQQFH7kvPIMExk" + "ZCQb/tH3AoqitFotRVEKhYIU60InW1tbzWaz1Wqtq6vr6enBSdn/lQMUcfDZXrvqcrk4HlKpVP1s" + "1j/pdLpjx47V1NRcd911kIYHTYSXXXaONkTqtSx5/6vvYO50Op1QKAxUvsOVSnCQ7tix4x//+Edl" + "ZSVFUePGjVu5cuXs2bMvr2zkRb/aI4BipbEcOXKEw+HExcUplUoyOxBwiRbhdS9N0yEhIUFBQWAO" + "QBofjuQLX57zq52voRBUAg6Ho9FoTp48CeDrgEBb9z/jAEulurraZrPxeDygbY/C2XzsscccDseh" + "Q4dOnz4tlUrhspZIJE888URhYaFAIIAybLfb4+LiAOhI07Tb7ebz+RqNxm6346xPT08PDw+XyWS0" + "J3ktLy8vPj6eOBgYhhEKhYsWLXrzzTefeeaZm266admyZQgxwN40Go0Wi0WlUl1h1Rm8NrUfqYz9" + "E/GF8Pn8sLCwwMqQgyYul/vQQw+VlpaeP39+4cKFSqWSrGrww8LCwn379kkkEvi0V6xYkZyc/Pbb" + "b1dWVsbFxVVXV3d2dsLtBAfMPffco9VqP/vsszVr1ohEIrvdrtVqlyxZcuONN2LDPvHEE4888sir" + "r766a9cuqVTa0NBw9OjRlJSUuXPnjqqzFUR7kAucTqdSqczIyAiIgw2aNlYIsdldlncfw2r2yEg/" + "g8tJuwKIpml2yWvag4k1rA/FnHZ2dgI7BMdPQFqmL5VYzjBMVFSUnzB14p/hcDgANSE/QWGA4Tk6" + "Ohrs8pI9Z2f8+k8IpyiqoaFBIBCEhYXBzgewcZy1g16ibrdbo9GEhoZ6ZQoNjrys8mOXfNdJ/49q" + "MptXmNATWCKW5sOHD7/11lsVFRUUReXk5KxatWrOnDn+C9eNPP3K5xHbYfv27fv374+IiMjNzR03" + "blxKSkpMTAxJeoQQ47v92WEdVqvV4XBQHv9brwhkg+gbfOxsodwrWJRANw/xWb8ScjgcJFwrNDQ0" + "PDx8iF5lTDexyPSnqaSkJOjYFEVlZmZe8nAMLAFrqq9fIUjk5OQ8//zzBw8ePHv27LFjx6655hqV" + "SjVu3Lji4mJoCKDZs2fn5eWR4xWDcMcddwQFBeHLSZMmPfHEEzk5OTDv0jSdlJT03HPPaTQaysN5" + "GIa5++67LRbLjz/+6BuRB3O/b+D0WCe3203g/Ql7oXy4sZcfG3zg/Pnz77///rRp0yIiIi47dBx6" + "qFarn3766fb29uzsbLZsgA/z5s1Tq9UpKSmQ6PLz8zMyMsxm89GjR2tqakJCQlavXu1wOFpaWlJS" + "UrhcrkwmW7VqVVJS0saNG/V6fUxMzEMPPXTTTTfBAcMwTF5e3ssvv/z555+XlpbabLbg4ODrr7/+" + "vvvuS0xMHJ12q9raWuTl8fl8YA8NsUGDwQBri0wmk8vlWEt9nVPDTWNYze61IEcAiRgL+Xw+W636" + "9Tg3LtebAsWBuIsDRTjsfQ8kxgMMjuIHfZ1YOF8RlkNc+oyndHZzc7PNZgsPD09PT+9POSJIh0SC" + "uaSqXF1dTZK9jUZjU1NTREREcHDwxYsXjUYjRVHR0dH9d7qCQkJCQkNDh7iDwLMwehRF9RrxPrZo" + "6J2XyWQB6ckVSQzDYKmcP3/+zTffLC8vp2k6JSXlkUcemTNnTj9NVCNGgP0XiUQBZ0djgsD05HL5" + "/PnzKyoq9uzZU1paqlKpIiIirr/++pkzZ8bHx0ulUpFI5CsQU79Ubg0GA5JUbTabXq8HWMYQ9Teo" + "0PhgMpm4XC7K5FAsSMjRs5ZGOWEG3W53e3s7zLjt7e01NTWJiYlDYelOp9PhcJATk5hXem0Qiycx" + "MVEoFEIyJrWvRoz6UzTL7XYnJycnJyfTNN3Q0BAeHk7CoNirbvHixQaDgUSK4ctZs2YRyUqpVC5Z" + "soT9rNDQ0FmzZrHVMISpr169+vbbb0dVPHZrgSreOarI5XI1NzdjFqRSqVQqxZEBBbXXfc0ukicQ" + "CDo6OrRarcvlGoHoy/6Q2+2eOnUqPrN7TpbB9ddfT96LYRiRSPT0008jRjI0NDQsLIytnLvdbplM" + "dtddd02fPt3hcCiVSplMxuVyybpiGGbKlCnjxo07efKkxWKJiYkJDw9ne9FHGxFEdMDaQTYeSoMn" + "TpxYu3Yth8MpKCiYOnVqXFycSqXC6eDHajNMNCqW4ECJYSFRDz2oyb8yabPZvH799fi3R/4dCdMJ" + "rNscU9zV1SUSiXqFnXS5XBaLpaenByUQfC9ACz09Pa2trcHBwdBO2ZaXtrY2o9EoFAq7u7vB3P2v" + "K4fD0d7erlAoEMNzyaHOysri8/mAagMnwhCdP39er9dTFJWUlDQgpzRkYhJU0/8bfdthGKaqqgoA" + "6WlpaQgNHXSDV+kKJujYFoulpqbmn//85549e2iaHjdu3O9///u5c+eOKoB68PmWlpaWlpbk5GRU" + "gx8lfRtJwgafPn263W7/85//fOzYsQsXLly4cOHcuXNbtmyZOHFiYWFhdnY28G9h+2aLtmTEhEIh" + "VBEOhyMUCoeeeYtKNlqtFuVkq6qqRCJRXFxcampqUlISXKA0TTc3N/N4PJVK1Ws+yOWi0SxF4ATk" + "cDgWi6Wzs3OIZ0RXV1dpaalSqUTkVFBQEAIcekWowrBUVlYiZN3hcNTV1fVa3nI4CK/Z1NQUFhbm" + "xwaEo5NURI+JiSHhOWTlEx3YFzAZIRhEHfJaCYxPWXVsQKFQmJiY2GuXriTHD97FYDC89tprBoOB" + "z+ePHz9+8uTJkZGRYrEYzl6q7/AZBF/A+jaqPPwwYFF99Mp30pHRnZycjH8Bx0N73Iqor44ATFyA" + "5cc2YLndbqlUWlxcTJ4yCqH7Kc8WKCws3LVrl8ViMRqN5eXlEyZM8Mq6HyhpNBoOh7Nz5859+/Z9" + "+eWX2dnZ1113XVFRUWRkJOrYj2Qw+ZhUs0G+NY0GQX4C2DDugM5nr36TyQQQ7CE++ir5oeE4OYKC" + "gnwlLeLK3rt3b0JCQlxcHPu57CPQ7XY3NTVRFAXjMZgauYDH4/F4PIVCQfYw5Xfrut1upHD3x/VN" + "URQSjfBZLpdnZmbCg0SKmvJ4vIGeK8jEG2I2NYQAoOXx+XyVSkWCfkdPnravoBOQ1RWoFxw9QtKw" + "SmyMp3bXjh07/u///u/w4cNOp3POnDn33XffpEmT+mlvGjFCT2CVC0iG6tglmqadTue1117b1tbW" + "0dFx/vx5iqK0Wm17e/v58+e3bdsWFRWVn59fUlIyfvx4CMRQOZBKg5E0m80WiwWljxQKxRC7BB37" + "2LFj77///vHjxxmGsVqtOMcTExPvu+++mTNnwu/3wQcfiMXiO++8MzIy0o9ERRQeqm84SV+uzlaZ" + "ejXOUqw9xb4AYrGv4OGnG4ynpib5tT8DNQhiPBUowsPDMzMzB+3KRoe1Wu0rr7xiMpkSExNnzpw5" + "derUkJAQgm/K/LKcJE7VpqYmRJax3eAjRl1dXQqF4pKR6nAesqPSfKULgnPm9b1X0VMv96avdgEl" + "DQ/qS1K9vBTAPrjdbpFIZLPZduzY0dnZGRoaGhcXN3Xq1KSkpKKiotDQULlcLpVKUTWNJCPgQ0FB" + "AeIgCMjcKCHab+Ct16TjjfAWFEUBWNfrFkhuRLv2WjPEEkTiffzEaY4Ggn2Ey+XabLauri4ELwyF" + "EhISHnvssaqqqurqaq1WW1lZuX///sTExNmzZ8+cOTM4ODgkJEQsFnsFk1PDs5tGqZoNiIi+jBlE" + "AR66WOZ0Ogm6QK9N+arTFouFy+X+etRs2JVHWMoM7FpHa32F8jocDpqmk5OTo6OjEVXiZWzGOrTb" + "7UFBQSqVSqFQAHGXz+cTLoamIiIi4OgGBySN+C5UgUAQGxvrvzYgW6qrqanRaDRYpQKBQCAQwJpO" + "WmbLZwMaFv9D3U+vC1HybTYbJCRyI1YO8WD0ejs7Hc5/apwf8k3CJN+wvySScT9H3s83AVSzR0BU" + "8j+VbJWAbVUMVMcgjwJXfO3ateXl5UKhcObMmY8//nhubi7J4B09phmQSqUiCW8Uq3ujQbQdDqL7" + "KKWGdJuSkpLPPvvs7NmzEE0oitLr9Xq9vr6+vrKy8vvvv8/Ly5s1a1ZhYWFUVJRCobh48WJnZydu" + "V6vV4FpDV5zQw5MnT7766qtnz57Nz8/PysqKiYnhcrknTpzYsmXL2rVrVSpVSUkJRVFlZWVBQUFG" + "o5G4H2kPkQYJuAb7ZdkciWJVnSUF5xgWyLPXLZTHQ0UCnnGGEl5ttVoBmoV8NHaDFEVBRGaLQORA" + "gejczzqRgyCaphGeiikmAcmD2Ji4ZdOmTcBwKisrO3r0aFxcXHp6+qRJk4qKipKSkrgewutgAPPy" + "8kQiUVdXF8UK/h8BwoMQCtGfhxIFr68Lev3JV4X2fwFpatRa+nyPQrYEdcmRZB9M+CsUCp966imx" + "WLx+/frm5ubm5mZAzUVHR6elpRUVFU2ZMiUyMhIFotkHOorAQfyorKycO3cu8KtHA7v23wffXy+p" + "GEOX9nPNKFet2UQiVjB9vsZHr+vJ6uq1NZilcnNzb7311o8++qijo8NqtdbX19fX1585c2bjxo3h" + "4eGzZ8/OyMiIjY1VqVRyuZzt3L6kPDbQFTXq1GzGA9UrFov9pzgGxJXN4XBIoWM//SH/0jQtl8tH" + "VfjZsBLDMF1dXTKZjA2HNkbJd28wnvR7l8uVlZVFTCcki9tisVgsFplMBoh1SHIMw3R3d7e3t0dG" + "RhqNRhj7If04nU7ca7VajUYjoNoBmuolGHE4nEtm8LI7jHxsjUbTayIW3ZsJPCDUTzWb8DsIo4ho" + "YsPFw5bRl1BCbIpsC+4guup1L6k5xM7ugxBJHuRLNCucHmwXMO9oh7wpmAZbIx1ohwkRDKehNOL/" + "4KEoisfj+alvQXugbkln8O+AEiPZK9Z3u3V3d+/YsePNN9+sqKgQCoUzZsxYtWpVeno6EnPIdPgO" + "qZ9XGxnzBIaO8iSa9gVgO5QeXnL6+klDaYes9r5uDw4OjoiIkMlker0eC4NI/waDwWAw1NbWHjp0" + "KDk5eeLEiTNmzDhy5EhLSwvuTUxMjIyMDJSqYLFYPv3007Nnz/7+97+/9957SfGFBQsWcLncr776" + "6tixYwUFBRKJRCAQ9PT0dHd3E/sdkcvZsElWq9ViseAawplJb8EBHA6H3W5Hm1B6bTab2WwWCASA" + "7WFrF6iXYTKZEC4nlUpxvoAx7t69+/jx4zfccENeXh4egQYtFotOp7PZbBKJRK1Ws5Vzu92OR5hM" + "JhxJARlJQngKj8cLDg7m8Xh4U71ejzztQTSIjXPhwgWn0wkLS1NTU1NT0+HDh3/44YfY2Nhly5ZN" + "nTo1NDTUS6CKj48XiURwV06dOrWfAV+BoqGHWvwKKSEhgUyiy0M8Hq8/SbbYXGw+6XK5NBrNk08+" + "efHixb1795pMJr1e39PT09TUdPTo0Z07d8bGxk6ZMqW4uDg1NRVOMsTQlZaWWq1WPPfcuXMWi2W4" + "UXuvUkDIy+iJ9UNsvownqJ7yCHWQvrxkFXbYPE3TQqFwxYoVFovlk08+sdvteAQq8jIMc/LkSZVK" + "FRcXV1RUdOONN0ZFRWEVEX3bD9ODj63/sseoU7NBUqnUv807IF4FHGz+uapv+1ce4IR/kkgkV4ZZ" + "oa+lQvB7iErZ3d3N5/MRL0qQJ2AaJLsa6YUo72m1Wg0GA+K3SSMoUWA0GmtqalQqVWRkpBf69CVN" + "Ynq9ngCcJCUlkdQv37sAzzgcLg6DwUBRFCqp9tU4sT1ZLJZt27ZBDEUKblhYGKognj17VigURkVF" + "kTEk97rdbq1WK5VK5XK52WzW6/UAwBuQqsDj8YxGo8lkAkocGDGwNJRKZWxsLC7jcDhIZQ8KCiK6" + "E5s4HA5CFRCpBXaPKSaTDm5usVi6uroQW4h/CZByP/tMe5LugoODyRYDf/djBeiVOBxOUFCQ2+22" + "Wq29vhRFUUajkcfjQTpHchf7AmR/dXd3BwUF4bAhhgaSB0Gi1xCzIBKJCAaB0+k0mUwURSG+2mKx" + "4JgUCoUcDsdsNtvt9o8//nj9+vX19fXAg3n00UeTk5N1Op1Xb4GiB1A9jA80nF5LkePF/TCoXi1r" + "lM8JAg0H5yt+AtgyNGriyUTVaLPZjJF0uVw2mw0hMDabrdce9rNUHp47oEnH3rFarQKBgM/n2+12" + "TArEFHQMHMxut0POwC3gTna73el0YreSNrHmSTfwmc0D5XI5+ZfxRC1SHneuy+VqbGxsbGw8efLk" + "t99+a7FY2tvbiRENm67/L9grof+HDh06evRocXHxwoULg4OD0Qe32y0Wi++///7Y2NicnBwYQBmG" + "qampqa2tDQ8P7+joAO5udHS0VColMlxLS8v27durq6uhEk+YMGHy5MkRERHgQjU1NQivO3TokNls" + "njVrVkJCgtlsbm5u3r9//9mzZ5VKZVFRUU5ODuoqoScmk+nUqVP79++HnXrq1KkTJkyQy+Vut7uj" + "o2PLli3ff/+9UCiUSqWRkZEymczlctXV1X377beHDh1qa2tLTk7+3e9+l5ubKxAIuFyu0WjctWtX" + "enr6hQsXjhw5csstt6SlpQW8nAHDMB0dHZ2dnbChREZGCgQCnU4nlUovqSwxDAMLFPlAUZTL5Vq8" + "ePGuXbt6enrYhjwsko6OjmnTpk2bNm3ixImk9pvT6SwtLQUzkclks2bN6hVOJeDEthSTx40GL+go" + "J/iQieQDIlb1/jA0qNls5snhcOx2e1hY2LPPPrty5UpkhVAehgO8jDNnzmzbtk2tViclJSUmJhYU" + "FGRkZGi1WsKRkpOTSajIMLz3JeiKj3saViJeK3LQgCCzkTRJEluOyxBHyV54SqXykUceOXDggF6v" + "t1qtFMuUjCiJioqKQ4cO/fjjj3l5eWlpaZMmTVKr1SqVyo+WB5BIBGf1c3JHnZqNfvv3nbIZIjWo" + "dcx4QNREIhGQFQZ670CfOEaJpukrGDYZ88jOwsKKAloPTdNisZhdQgO/OhwOmUyGnAUAJEK7g24A" + "6VwoFGKjQs3DBy8R85KriAgrFEX5KehNeVyRARe5KIrq7OyEstEX38F2WLBgwcGDBysrK/fu3VtV" + "VQUtzul0isVi6F1dXV0cDgfqum8LZrMZY+50Om02m0gk8tLGL0k0TUNtgCaMXtlsNiR6wWUERbGx" + "sdFms8F4yT4LCTNxOBydnZ1CoVCpVPb09JjNZplM1t3dzeFwkCGPxtva2lpbWzEsCHwYHFSPUCjU" + "aDTYZYAtEQgEBoOBnDH+3xrHD5fLzc7ONplMdXV1bP8z0SEpimpqasJLcT3l2dmXmUwmm82m1WpV" + "KlVoaKjL5TIajU6nUyaTFRcXYzaDgoKkUilACrq6uuLj4xFtxePxuru7y8rKnE5nbm4un8+vr6+H" + "rSomJkYsFp85c6alpeXAgQN1dXWI7NBoNB0dHf/6178aGxvZPWEYJi0tTa1WnzlzBlaSiIgIiUTS" + "0tJitVp9dWY+n5+ZmalWq3sdKyJjeb0s8WeSL+F243A4SAmhKKqxsdHlckVHRwsEgoyMjKamJrPZ" + "DE2gsrKSy+Wq1Wq9Xt/c3AzjUWNjo8Vi8e3DzJkz/U8iuqRUKuFQ7fVXysd8g0m3WCz19fUwZrW0" + "tHR1dcXGxjqdTr1e39jYGBUVJRQK5XJ5a2trY2MjRp5gKAC1MSMjIzo6GnJJfHx8aGjohQsXuru7" + "KYricrkGg8FqtcKIRtO00WgsKyszm82+0jPJEoTuZzAYTp8+TbE8DH2FUQyUGA9KVmdxX2Q3AAAg" + "AElEQVRnZ1paWnh4OHFNY+OnpKQAAhovxeVyu7u7v/rqq82bNzc2NprN5uDg4EWLFs2fPz88PJzH" + "4+n1+vfff3/dunU8Hi8sLEyr1W7btu3mm29+4IEHEhISHA7Hhx9+ePz4cZfL1dDQUFBQMGXKFLvd" + "/uOPP65bt66mpsZisVit1s8//3zBggX3339/fHw8RVF6vX7jxo0wKgmFQpvNtmXLlvvuu+/2229n" + "GOb999/fvXt3R0fHRx99dPLkyUcffXTixInHjh178cUXKyoq1Gq1SCTat29fRUXFk08+OWPGDJlM" + "1tjY+Ne//jUqKurixYsdHR3JycmIuA4gz4emtGXLFhjCKIo6ffr0yy+/HBoaWlRUBG9hXzPI4XDA" + "PaKiomw2m06ni4iIAL/FwYdNRyIgwIKqq6tramp27tyZk5NTWFiYmpqKAKhPPvlEr9cjN7ulpQW2" + "j+EOf4VxivrlWeCb9TpEGrTIOjoJu7u1tXXXrl2oeOJyufbs2dPc3ExRVGFhYV1dXUREBFt48Dpz" + "aZquqqoKDQ1VqVS+skFwcDCKhJM4MtqDsIh0lerq6kOHDsnl8vDw8JycnJqaGhQO5PP5ubm5lyUG" + "k+iExP5ID2dFpCuD2AcKbHBms5l809zcDIDh8PDwpqYmvV6fnp7OMExVVRVsuFFRUTwer6GhAXkE" + "0dHRERERiEWlaRoGU0iDYEFEYGYYprOzc//+/cePH1coFBqNJi0t7brrrisuLo6Nje3VfA+9YEAT" + "OrrUbKZ/GR2Im4Lbh8vlDsWmOyBbF+PJALnCeKV/uuLNCuzZxF/ivoNkz86gdrvdFy9eRN1LgUCA" + "nwwGA3QSypOETEgsFsfHxxMzWz/7gyuJRuT1vS85HA7i/QvgZNE0HRoaCjXb/3685ppr8vLyWltb" + "IeKTo9RkMiE5Ey6Ojo4OLquGGbygcJexQy4DTqjMTF4Kb0R56voisRxqLWQ7NugI44lmpz0RCuQE" + "pT2x+oNjCIyngk5ZWRmxy0KgxLD4vx3cj3RbLpfb7XZ43fFeJLqBz+cT8zCcgUKhkPiHATGAEwWy" + "JvQxiMVOp3PPnj24Fzj8DMMYjUar1SqTyUgkiN1u7+zsdLlcoaGhcL5ZrVYOh4NYmJ6eHr1ez+fz" + "iXP422+//c9//qPT6eC2YpNSqRQKhXq9HjonjjTE6/oOgtvtVqvV0H6pX3rpvSbFz7+MJ3kEo8F4" + "qvQxDAMjUWJiYnd3t16vx1mDrFGMITJsKYoi4QxetHv3bv/zSHlObrijyUYga4x4cihPYALBfXA6" + "nQaDQSwWi8ViGErgF0VwAYZOKBSazWaj0YitBxAyoVBot9vh2gX4nNvtDgsLk0ql7e3tmBT4lGC6" + "wnOBT+NrCyDMk2Q+g2Mgxp5cM/TdzXhQGxsbG91uN7JyOL9ED2KDYsAGBITL7OzsmTNn0jT9/fff" + "v/HGGy6X6+6771YqlQh0j4yMvPPOO3Nyctra2v7+97/v2LGjpKQkISFBp9MdP358165d48ePX7Jk" + "yaxZs5KSkmpraz/++GOtVnvnnXcmJSVptdp169Z98cUX6enpSCzauHHj2rVrY2JiHn/88djY2I6O" + "jk8//fSDDz6IjIycMmUKh8PB2SGXy3NycsLDww0Gw5/+9Kdjx44tXbr0+uuvV6lUVVVVL7zwwh//" + "+Ee5XD5t2jSz2dzY2Hj69On77rsvMzOzsLAwsK5sYlRdt24dAuwpikI2o1gs3rFjxyXDECCVSSQS" + "t9tts9mglmPSu7q62Pq5lz+qrq6utra2tLRUoVBgfrVarcFggBWpra3N4XAIhcJhlUMYT64T+0vC" + "TAahaffVW8JIryS9q7u7u6OjA/KP2Wx+7733EOCTkZHR0NAQGhrKVneJPY6maUSK1dbWIkWCKN64" + "EjLDiRMn4Idkf8n2K7hcru7u7u7u7qqqKsrDASIjI1NSUgJSW3RAhzsOUIg0xLDIjHoEsstLdrv9" + "3LlzOP4oivrhhx+am5tJ9CiiHc1mM5/PVyqVOp3OYrHEx8ebzea2tjbIMKhVptPpgoKCaJoOCwub" + "O3dudXV1fX09h8OpqKiwWCxe1ny2vk1RlMVisdlszc3Nx44d27dv3+23375y5UqsSa+pp2l6QDV9" + "qFGlZjMs0O++1jQ2ocViaW5uDg0NRYkmFC30o4HA6cG+AJ9RZ7j/+5Cd+ekrtOGE6O/bjh26snVs" + "qrcXJBrguXPnhEJhbGwsoo8YD/SOzWaDzwRpbEFBQWKxmMvltrW1NTc32+12aHHk2BhQ1D00JQSC" + "+ukkm4gGNYDXvhSxLQ7+L8P+uvvuu1NSUnxdjpTn5Dt//jxqoZGR5PF4LS0tRqMRvuXA9p9NP/74" + "I7szSP1C8A+Q7SQSCQoEisViBLlA98ChrlKpuru7xWJxbGysRCKBKYGiKC6XK5PJcKAOrmMIhSgv" + "L4f/kOo3J3G73Vh16JhAIAA+p0wm02g07e3tqNeKJZSYmNjT09PV1dXT02OxWOA/12q1MIgolUqH" + "wxEXF+d0Oru7u81mc0hISFJSktVqbWxs7O7uPnXqFE3TKpUKaW8I74ckrdfr4TCkaVqhUISFhVmt" + "VpPJJBKJoC13dna2tLRA2xGLxREREaj3hqCPkJAQX/EdSa1RUVFEpSSPYF+GnQXbDXRIHMlEmsE5" + "AtBgBFMgVgL2CJzobrdbpVIh6xXVdyAkKRSKuLg4g8GAaAhEW6jVakw0TlnEOnI9pX36sqNdvHix" + "PysBegXFstNxPVDGGAcsM4iYCO0WCAQoYQ3Dh8PhQOKARCKxWCwSiYTH40FCValU8fHxJMKfPVDt" + "7e2wL1AUVVNT02vfYMrBsmeLvES7Jp4BymMWgSaJEtkB50s2mw22zoiICF/hla0dkX+nT5/+2GOP" + "5efnCwSC6dOnP/744ydPnrzhhhvgLlu0aFFKSkpmZiaHw0lLSzt06NBPP/2E3SEUCrFfli9ffttt" + "t+Eg4HK5ycnJixcvvummm8DcTCbTm2++WVtba7VarVbr1q1beTweSsGjG9HR0S+//LJWq1UqlcuX" + "LzcajRs2bLj77rsfeOABsVh89OjRkydPJiUl3XrrrWBNkZGRra2tzz///MmTJwsLCzHC+fn5q1at" + "iomJCYjNwndgjUajTqcjGY8kTR35JhC9sCwxquClBH8EdQQQHQbEE4hz2Im+ywC2SzTY1dUF6xXb" + "qOQruQ0Tud3u48ePQ1ckop1QKExISCCnf/9b83Mc2O12rVYbFhbWz9zDMeHkINEKFEW5XC7EggmF" + "QpRoglGPXGyz2YilT6/X48pem2UYBhy4119J6AGfzwdns9vtUODB1REAOPTRgzzWn3UIY43L5ero" + "6EA8kd1uT0pKQvEFejT5tEeJpxCcpKmp6ccff+zp6QHnaWhoaGhooCgKNjuHwyGRSAwGg0gkQiyh" + "y+VCIg8pZoE1gCgGnOzl5eVdXV02m62vR5PDi/JsdghLMpksJycnOzublITstdsDGrpRpGa73e6u" + "ri65XH5Jpsbj8UJCQqRSaV1dncViCQkJ6VWNYTy1mhwOBzI2vZod6KJH4KhUKgWuCQmlo1jK/OjZ" + "SFdp0IQ55XA4ISEhmFlimORwOOnp6W1tbdjzOGBCQkJCQ0OhpJFoZxL7QPV7W+KyixcvqlSq8PDw" + "AR0Sw8Qx+8mO4dCeNm2au2+c8Obm5qCgIAJJAotvZ2en1WpFaMBA++b2FHS95KabN28eu6tw3FEU" + "BZkSJ73JZELCrclkQq47REPsdAgE4eHhwOYhxlEAKA5azYbOUF9fT5y6ZMH4v8vtdqOsoMlkgkXG" + "arVCfFGr1VCnoWjBuAt/JhyefD4/LCysp6fHZDIxDCOVSuGFdrvdBoPBbrcrFAq1Wo0qDCaTad++" + "fTweLzEx0Ww2i0QilUpls9kADdjZ2UnTtEgk6ujo0Ol00OW6urqQYM/j8d54443S0lKLxaJQKIqK" + "iu688860tDSaFQXg+6ZeMcbYBb1eCd2vtra2u7tbLpfDtwwwKoqiFAoFh8NRqVQSiaSpqQlHssFg" + "wGjAmG21WiMjI6FzNjU1yWQyOEtRZtlgMNA0Dd2VmL0p1qYganCv3QMdO3asP8vA7XbL5XKXy4Wl" + "xTCMQqFwOBxIn6ZpGtVHEA8SEhKCEY6IiIAaYzabu7q6oNUEBQW1tbVxuVylUomwL4FAAHsQ9Ute" + "5Ha76+vrkRhC9b3ZsdjKy8s3b97c0NBADAEkDxk2FLFYLJfLs7OzFQqFSCRKSUk5fPjwzp07u7q6" + "AqtpOxwOm80Gqbqv8cQYwqAjk8luvvnmiRMnwhZZXFycnJzc1NTU3t6emJgYExOzaNGiqqqqjRs3" + "Ila5qqqqra2tqakJIRsIQM3NzUXwCI/Hi4+PX7lypclkOn36dFlZmclkqqysRMAFsJe0Wm1RUVFm" + "ZiYiCzgcTnFxMfYd8NJgjECiOyQft9vd2dm5ceNG7Ec+n3/48GGHw7Fnz5477rgDs5Oeni4Siex2" + "O5KVAjikFEW53e7w8PAFCxa0tLRA5FWpVFOnTuXz+Tk5OVFRUTRNHz16NCQkBOhowH7r7OyMjIys" + "rq5Wq9VtbW1SqRT55A6HQ6fTob7jhg0b9u3bZzQa2fNFGB2cK0jOQjQH0DEoz74I7Gt6ERZJe3v7" + "iy++ePHiRYIxDpNWdHT0gw8+OGfOHF/scT9nOmAacAt7r8Gx9s4776xcuTIrK4vzyzrJVG9AElhv" + "vXoC8OGya0og0h+xWDxx4sT09PScnJzvvvuuvr5eKpXGxMRQHki82tpag8Egk8liYmLKyspCQkKi" + "o6N9G0Qsw8mTJ1HdzWt8fIFyABSCJC/KA8NGDc1OgSm7cOECOK3/+BEwnK6urpMnT27cuLGqqqqn" + "p8fpdMbHx19//fVz584FStwg7DXDMcXuUVNaHKY6qFQ4TCMiIhBTlp6ebjabu7u7k5KSqqurIyIi" + "IiIi7Ha7wWDo6enRarVyuRx5mgqFQiaTgeF0dnYiFSgiIsLpdELZJmyE6NXs09DtdkOIysrKuuee" + "e2bOnBkVFdV/wJdL0ihSs2ma7gvBlX0NRVHEAIbq7f6rIkEdCsh6wrhzOBwUDk1NTcVqAJu22+0R" + "ERH9LAVxlUYJ+WFkXC43KirK7XYDQAguI4lEkpubi5QPYoVlPFHEMTExcXFxAoGAeKTZNrO+OuD1" + "K0ST4fBXDIL60wdiyYbE39dlOGsJ4cqBht8Q1ReR3vCiXFLNJnC+XkSg0S5JERER5DOPx/MCLBjK" + "TIlEotTU1EHf3iuxe0tRFMMwQUFBoaGh7C/Dw8N9b2R/CX2Aoqj09PRB9EGv12/fvr21tdViscjl" + "8ptvvnnp0qVZWVl9+S4GTRMmTLjkNVlZWYF9aP+pr7U3RILyNnSrbn5+vv8LsLZ1Ol1TUxMEZfjS" + "GYaBYVEgEERHRxcUFBQUFMTFxSUnJ8PSIZPJVCrViRMnSKTG0ImwGgRKNDQ02O12rxXFeAICSU0B" + "iUSCWHoiKCORT6vVUhRlMBjWr1//5Zdf6nQ6tVodFRV14cKF9vZ2nU6H8A2KosLCwgj2G1T3ysrK" + "9evXV1dXIzmis7Ozvb0dBwFERsj95BYej3fNNddQHuOgUqlkF2XEU3Q63TfffAOjBnzLkZGRSDtE" + "t4lwHHBrPjoZFBS0ZMmSL774QqfTMQwTGhq6Zs2aqKgoIBRQFHXjjTfK5XLkEYD3Go1GpVLZ0tKi" + "UCh6enqEQiFCVGiaRqqU0+k8dOgQ1ipbOYRIhue6XC6RSASXVGhoKMqzB/YF+yKcv+fPny8vL3e5" + "XL/97W8RKepwOKqqqr766qu//vWvaWlpGRkZXioxyU1gn9SMJ9wSphDfUB29Xn/u3DmSd0qawr+0" + "h8ivbhaoKvmSGPVImI+vuj7y7krYMZOSkp588snc3FyVSnXttde2t7eLxWK1Wk15YqdbW1uRXKBW" + "q8+fP69UKnEwsbuKzttstq+++uqf//ynTqdjr3wMC0x7fD4/KSlp3LhxmZmZUVFR77777sGDBwG/" + "iliJobwR7Ql6DQoK6o8GYbFY3njjjW3btpnNZnhERSLRqVOn3njjjcOHD//xj39MTk7msEr0+VpV" + "vMbBN2fBa37Z/fTTju/jBp0NEXBiGCYuLk6j0cBpqlKpnnjiiaSkJJfLlZCQYLfbwQbr6+uRwA8n" + "h9FobG9vVygUADRRKpXweMvl8p6eHgQJUhRVWVn597//vbW1lWxVEngF3CXYrCmKSk1NTUxMnD9/" + "fkFBAVLhAviOo0jN5nA4/QRlpTyLBs7Dvo4cjGwAgcFJdTVEbbEDiWGTvurKHovk50DCnJ45c8bt" + "dsfGxjY3N0skEtSvZrMtcs5BG+dwONXV1QqFIiYm5pLh4mx+h0YiIyMD+4IjQHQf/kY29cX9fb/0" + "34jVatXpdHD9nT59OjY2FiPmpxFfCGgSkEz+JSIv+wLql3W8h+lMYgYIMU2I80vAcPIl5dNttweK" + "2esa0ojXN0QUcP+ypLnXc73uojw1NvR6/b///e933323urpaJpMtXLhw9erVaWlpBIOkr9UyCOuS" + "f67L7pv/y3xfk+pjhAPYvX52yZd0Oh3sIF7D5TWbXut8EN2DvvfJJ5+sX78e+RQURcH2n5qaOnHi" + "xOTk5NTU1PT0dIAVkc5TFEWSugNIDMMIhcK0tLT9+/c3NTX19PQgD4WsWIZhuru7ocoSlwXjKc5H" + "LgNaPsMwW7du/fjjjwFRDrTwr7766rPPPvPaBeTpFEUdOHDg5Zdfrq+vLywsnDZtmlqt3rFjx3ff" + "fUezQLO8cn0ZhjEYDEA94PF4SUlJQB1nj/OCBQtmzJjhcrmAwwfTQFJSkkqlgkVgWG2vaFmj0QCo" + "EppMZGRkQkIC5ZlQLzMc5QEQhb0SRVuQ5YGhcDqd5eXl+/fvh2JJUgJho3G73YB7AKJ4YmJiWFhY" + "YmLil19++cUXX5hMpl6h+wNFqBdAMjMZhomIiLj11lsTEhJoT7qQ2+3+9NNPKyoqkpKS4ARye0qs" + "A7CT8dRfIHI8PGO4kkAtUJ7QjwkTJrz++utpaWkkkJ5dHAiGCeKnbW9vP336dGFhIexWtAeOAdYu" + "LAYvfDi3B9CBpLQM3wB6EbqXmJiYnp6OAnupqam+FmS2tT0uLs5PawaDAVEeeBEsSLjNgoODNRpN" + "Tk5OTEzMpEmT0tPT5XI5h8P5+eefjx07ZjQaSVrQ0N+oV2e7F4HDbNu2bd26deHh4X/4wx+mTZsm" + "l8sFAkFVVdUzzzyzc+fOjIyM5cuXEx3HN04BG4ddxwEoCeBjbEMbm73ASEfYLNatb1EbssxwV3l5" + "OU3TaWlpbIjfkSfCCshJkZaWdsMNN8CByqaBuiJomtbr9bt37ybjTN5dJpOJxeKCgoIJEyYEBwen" + "paUJBIKYmBhg9XnJPAGhUaRmD4iwhjo7Oy9Z0jmwVj20w3YW4RscP5fdMnSVBkrAAULor+/04ZuW" + "lhaHw6HRaDgczpEjRzgcDk5i9pXYwO3t7QTuxb/aSVikTqcDfhXBxx5KmNNlpGEVAdmEWmtCobC1" + "tbWqqkqhUOAg9PP0vpgmWwpBAqrvMhgZSWXQT+nrxl6/9/qS/Ov7gX0NYaHsX30/M57cPKvV+s03" + "37z11ltnzpyRyWSLFi167LHHUlNTicBEeYL6uJ5yl+QRgwN26ktj930jr81F/u3rNXsdk6H37ZLk" + "m45OZC/Kk5EI8h2u/kxrP3sINnXq1Knt27c7nc6SkpKwsDDYHBMSErKystLS0hDyQLGgrSiPEHlJ" + "09vgiM/nT506dfv27VVVVfX19Sj4BCQ/CJQfffSR3W5fsmRJfHx8ry3QnrQFi8Xy7bff8ni8FStW" + "zJs3D+9SUVEBaAaiO7G9STRN7927V6/XL1u27N5774Wnzmq1lpaWok2cJs3NzZ2dnSSq32q17tix" + "QyqVTp48WalUou5aW1sbqlRER0fz+fzg4OBZs2aRAJ+qqqq33nrrtttui46O9jICDhPRNE0yoSiP" + "fQFSu5e9hpxT+AulDhucMFuXy6XX69euXVtfX48Bh3YKJ2R2djbSheLj44uLi0tKSoDaQFHUzp07" + "h9XPhj4j0B2ynEqlwmKw2Ww2mw3vxeVyCwsLv/rqK71eTyKQYaDp7u42GAzILQJKKBkT5A4AkoDP" + "54eEhAASAvqVXC5PSEhAzCOWq06nA6qTQCAAvAUGyuFwfPPNNx9++OGrr76anZ0N2weszF1dXXDw" + "sm9hG/3BXd0epLFhGkY20R6HEyqbInIEETdeNj5fy6yvERAhhN98882mTZsARYmSJTExMenp6enp" + "6bm5uRqNJi4ujtRUB74GxwPrjeib9PT0oSMFXlIew4t3dHS88cYbCoXixRdfLCkpISE2mZmZf/vb" + "3x5++OFPPvnk2muvnTx5MhgjsrrYnNnhcCBLBV86HI5169b19PQ8+OCDSGIi7AjQpCiSR8rdkWQr" + "31rlDoeju7tboVCgVwzDvPLKKw6H46WXXkpMTBwxya0vYkdt6PV6mEi4nsqplMde7OUkYJOXMd3t" + "dlssli+++OKzzz4D6AmHw5FIJEhruu6664qKiiZMmJCYmOjlBhumLTNW1WwQ0tV8VxWbhmMBwVjr" + "KwNdpbFFUAlsNhtkC98LMMvZ2dk0TSuVShiVUcrLa8bxr0wmA+hxRkYGh8Px48rmePI54dzA7YhR" + "9LoLl10NlCAE7YKiqJCQkGuvvRZS6VA2IPg7Kh6h9sPV7cym/o8GArq2bdv27rvvnjt3Djr2mjVr" + "UlJSqF+GqCG9Ck4ksrb5fH54ePggqrD0v4e9btuBNjIgGpzVAKCpRIP1ba3/kV+XpEvapyIiIpYu" + "XSoWi5OTk+GxhJ2L8khIRL/yGs/hEFnQpcmTJ48fP37Pnj2bNm0Si8WwgdI0bTabd+/evXHjxsjI" + "yBtuuIH0pK95t1qtECEQCW8wGHQ63f79+7VaLeMh3+B8k8mkUqkyMzOBBWgwGCorK5GK6XK5UlNT" + "Y2JiKisry8vL09LSUKL8wIEDb775Zl5eXmZmZnBwMMw6ra2t3d3dMpksISEhKSnp3//+96xZs/Ly" + "8lCtes2aNSdOnMjNzS0oKBiOQHEvgjrR3NxMtErMINuU05eghb55/QrQ6R9++AG4aCKRKCQkJCoq" + "Kjc3NyYmpqSkhGEYmUwWHh5O8CYhLp84ccILGXg4iM1qQkNDEZDIeEChAT8BbxiqJ+DKjo6OHTt2" + "7Nq168KFC3idW2+9tbCwEE253W6tVvv1118fO3bM6XSGhIRMnz69p6enubn5d7/7HSq3v//++4sX" + "L05ISGAYprKy8sMPPywvLzcajfAuPvLII+PGjePz+ceOHfvss8/Ky8v/+c9/zp49+5ZbbpFKpU6n" + "c+vWrdu3b6+pqYFdOCMjY9myZbiFpunKykpEmlRVVYlEosmTJw9rGiNbt8eH9vZ2p9MJqCoc05c0" + "+PpeAB37lVdeAfSSVCrNzs6eNWvW+PHjY2NjYbrClcSQBxOt1WqFgVKv16PyxSUR8vv/jn0RuN/+" + "/ftrampuvvnm8ePH0556BwzDOJ3O5OTkBx54YNWqVXv27Bk3bhzSK7Zs2TJnzhz458BkTp06deTI" + "kdtuuw24PDU1NRs3brx48eK4ceNQWJ7P5585cwZABkePHt21axefz58yZUpRURHSOmia7ujoKC0t" + "zc7ORj0q9K26uvrzzz9/4IEH4uPjUWrx559/7ujomDhx4pIlSwC7MMRRGjTh3QmLbm1thUBO+ZiJ" + "/Wh5vpbxvXv3vvfee4AFFYlEsbGxJSUlubm5EREReXl5kOHJ4UX1wcECRWNbzZbJZEiIAnTNiD3X" + "91lj1AP5qyXGUzjd5XKhUnGv04etaDKZANrsdrtTU1P9gHXp9XpgY15SVWttbQXGDCQMrKgLFy6o" + "1WqvpFm32w1U4V7b+XWuOkyfRCJJTEwcemu0J7sETptf55AOkSBPmM3mbdu2/eMf/ygrKwsKClq4" + "cOFjjz0GHdtL1dm6deu2bds6OjoQcokcTrVavXz58kmTJl2+97hKvyBMGaoqsL9nflnTboShdBAQ" + "ftdddzU0NGzYsOHcuXPFxcUFBQUul+vnn3/etGmT3W6fP38+qmcTJ49XI0KhECALU6ZMKS8vX79+" + "PfTkw4cPl5aW9pp5SyglJWX37t3btm2TyWRut3vfvn1YzHV1dR0dHWlpaQsXLqypqVm3bh3DMLm5" + "uc3NzW+//XZtbe0tt9wCsyBiX7u7u1tbW6FIrFix4rnnnnvhhRdmzpypVqsPHz5cWVm5YMGCadOm" + "CQSC2NhYtVpNMMCHg2hPEr5X5bxByDYQ7g8fPvzpp59yuVyk7hcWFo4bNy4qKio5ORmh5uzr2XEl" + "kZGRCMke8jv1TjQrOYtEdPP5/O7u7r1796Lg1smTJ3U63bZt25CYjdASo9H497//fdOmTQKBAIES" + "Bw8ePHz48B/+8Ic5c+aIRKL29vYXXngB9eEAH7Bv377u7m6LxVJSUqJWq/ft2/fee++lpaXFxMS0" + "tbU999xzJ06cuOaaaxISEiorK3fu3NnT0/PGG29oNJrNmzdXV1c7nc6ffvpJLBbPnTtXJpOVlpa+" + "8sorLpdrzpw5YWFhZ86c2b17d1NTEwLR3W733/72N0CNNjQ0INikPzHPQyS5XC6RSKDxovaKf9eX" + "H8J0tLW1ffTRR3q9/qabbsrPz4+Li0tPT9doNMQDQQqw079M8u/p6SF+0RHTCLDaz54963Q6i4qK" + "pFIpSUagPDJkUVGRTCaDPUWpVO7evfu1115LTExUq9VIWKNpevfu3e+//35ubi7QDVasWFFdXa3T" + "6V588cVFixY9+OCDcrkcuSoymayhoUGv1zudzu+//37KlClPPfUUfLMVFRV//etf77///jvuuIOM" + "wJ49ez7++OPc3NzIyMhDhw698sornZ2dFovlnXfeUavVt91220ALQQ8fkci4IawDS8AAACAASURB" + "VIphFotl3rx5iDrJyckpKCjIz88nbIcwHHqAZYAGR2NbzaYoCvhyHA4H6srl6sZV0XwsEpxF/iH0" + "3G734cOH09PTQ0NDUTypV8wtcjx0dHT4wpb4Xrlt27aGhoaSkpK0tLTg4GDk+YeEhLD9V2AEgAwJ" + "OErWmCaalYcZqJCnERBHrlRCvqLFYtm+fTt0bLFYfOONN65evTo1NZWYqynPkna5XDt27Ni9e/ek" + "SZMiIyNRpMrpdMbGxiqVyqsmS5qmEcV6uTvy/4ntssY3w+Sp7ifBWTR9+nSapj/88MMjR44cO3YM" + "WmhXV1dsbOyKFStuuukmiATx8fHnzp1jvwtN01lZWYhBFQgEixcv1mq1W7duPXXqlMvlCg8Pnz17" + "dltbW0ZGBrh9QUEBKa+IV541a9bRo0d37tx55MgRrHwUf0pISEDG9cKFC61W6wcffPDKK6+oVCqD" + "waDX6xcsWHDDDTdIpVKGYaC8HThw4PXXX1+5cuWkSZPmz5/PMMxrr722fv16m82mUqnuueeepUuX" + "RkZG0jQtl8unTJkybtw4wPYM38hrNBo4LYYCSYDuRUVF3XXXXeHh4XK5fMKECbGxscS1CNZNQjSJ" + "TgI7Qm5u7tatWwOSXuuH2HwGSb91dXWvvfbaunXrcOwiPHvp0qUkhfinn3767LPPwsPD//u//xvY" + "gdXV1cuWLfvXv/5VUFCgVqtfeeWV77777sEHH4Tq0t3d/eabb27atAllDl0uF4pKAHT93Llzx44d" + "mzNnznPPPRcTE9Pa2vrWW28dOnQIEPrz5s1rbGzcvn37okWL7rzzzuDg4J6enr/85S9dXV3PP//8" + "4sWLJRKJTqf7/PPPX3jhhc2bNz/88MNcLre8vPzs2bNZWVnXX399cXExvHbDN4YgFETA55aWFlS7" + "HDTRNC2VSpcvXy4Wi8ePHw9FlPKcMlgwvqoRVhSW7mXhS1jPviWN8DksLAzI6j09PTExMceOHQM4" + "KDvPwmQydXd3o44gwzBhYWEYivj4eJVKBeNFRUVFeXl5SEjI7373O6zATZs2bd++PSEhYdmyZaGh" + "oSgkptVq2bgGZ86csVgs586ds1qtsbGxM2fOrKqqEovF119/fWpq6tDj6gNIgTIjlpSUzJ0712g0" + "Wq1WjB7Fqtk+wnbhsa1mI+Aevr7+L5SrYtxVwgKAccv/eqBpevLkydioWq1WpVL5KSVN3KFE9+ur" + "8fHjx3d1dR05ciQkJASldyUSSUREBPticJzOzk6tVstWs9k5C1FRUb6Rpb8SCpSCfZWGQoi2gI79" + "+uuvl5WVSSSS2bNnr169OiMjg+oDztRms02ePPm5557LzMwk8XUkHeAqjRLfAuiyuKz9E5JuZsyY" + "kZaWVlpaWltbazabaZpWKBRTpkzJysoi0KdLlizJy8vLyclhD+nixYvNZnN0dDTDMDExMYjUraur" + "g1JdUFCAEnEIjL/nnntQ8Y60kJyc/PTTT//www/ff/+9WCyeOXNmenq60WjMyMiIjIxkGCYoKOju" + "u+9OTU09evRoT08PMM9KSkqioqLQQnBw8P3334+4cYPBQHkg0FJSUo4ePdrR0ZGbmzt16lSCtckw" + "zPLly9Vq9XC7E5A3PsRH4Pbs7GxUY8GXjAcBnqjWfa2ooXeg/50Emc1m+PATEhJQICoiIsJsNh84" + "cKCiomL27NnI0di8ebNer1+xYkVeXh6K+mZmZsbHx584caKlpYXL5W7dujUtLe03v/mNRqOhaTou" + "Lu65554rLS2tq6uDcQQ6GF5cLpeLxeKWlpaamhqHwyGVSh955JGbb745MjLS5XJNmzattLR0586d" + "t91225QpU/h8flNT07lz5/Lz8zMyMpqamkQikcvlmjVr1uuvv15eXm4ymYDwEhwc/Nxzz82ZMyfg" + "NR36IpTCxueWlhaTyUQPFmIGtwQHBy9YsADfsMN6L4kxgeG9LFIBzQKo8/oeDCE4OPjUqVOo5Dx+" + "/Hi2d4fofuzOv/XWW4sWLSovL3/iiSfy8vKQeyISiYKCgh566KFHHnkEOHOFhYXz58//9ttvFy9e" + "jNwH2gdeBCkYqDOXnp6ekZGxadMms9m8cuVK1NccgfEZYQJetVwuxwe3B6n+spxio0vNhsQ2oDQk" + "DOVAn0L/stbuVcX7yiDfnHn/5MfnTIjD4RBOFB4e7j/TSSQS+V7gi1vIMExBQUF0dHRbW1tMTAxK" + "RFIUxa4bQfy0sbGxKPJMCN4/MGX4W/r5vldp7FJ/1urIE1apTqf77rvv3n77bfixFy5cuGbNGlIG" + "rNc+0zQdExNDkF1oD1L9VVY8Oml0Torb7UZyrJd1kh3kkp2dnZ2d7XUju5IfwzBRUVFLliyhWWVy" + "CLAQ1Qe+aXJyckpKysKFC4VCIYFYpz1x5gzDCASC4uLi4uJiYm/FjeTfSZMmxcfH9/T0wLqKbmdm" + "ZmZmZrJfkLSckpIyArOA0uIBaQpqD+NBRvSFHWFfyX61YQUY75VUKpVQKFSr1c8++2xCQgJQ0C0W" + "y8yZM7/++uvf/va3KpXK7XbX19c7HI69e/c2NzeLxWKn02kwGM6fP2+1WltaWpqamiwWS3FxsVQq" + "xRlNUZRGoxk/fnxTUxMexI5WzcrKuuaaa3bs2LF69erw8PDMzMxx48YVFxcDIsvtdlutVoZhzGYz" + "6qjDRVldXf3SSy9ZLJbo6GiTyQSQC9oDgmW1WiMiIrKysoAgNQJKBcMw0dHR8fHxjY2NyMoeuomQ" + "YWH798c7jYApm812/Phxs9nsq+uOAPnvpMvlstvtXpDgqE5P5DcSBQmSSqWoGyoUCpEzSNO02WxG" + "mLdcLkehBI1GM2PGjC+++KK5uTklJQXxIFVVVaijiQYBpg23ORt5mxo20K/LTlg/jKf22+U1W48W" + "AR2sx2az9fT0KBSK/pszB2Q2w5V6vZ7L5QK50eunQfb+Ko0OAihI/x1i/V82uLjXUsPspjQaTVRU" + "FBvwUK/XA1uVfGmxWPR6vUwmi4iIiIiIAOwZLP3sc5EsSKVS6dWTixcvolatSCRqbGxEhcCr1CsB" + "NtZPOv1YIRRuGVU8iiRKvPXWW19++WV9fT2PxyspKVm+fHlaWpr/eH4seKTS2e12RMche4IarUrd" + "VRpVhNUFsdJLzWYLjr2mlrANsrQH85lmVRtmN8LO0yaPRjolvNNuT5k64iGgPfg6pE2vPuB7HAFe" + "HSMfvPwNvu6BwBKeeOrUKZ1ORwViD9IeICiKovwfymBuvljlI0Cke6igFhkZya44lZSUVFZW1tXV" + "RVGUXq83Go0URdXW1nZ0dDQ1NanVapFIFBMTk5GRkZCQ8NNPP6EAG2qAczgcKEKA7CKaMPkrFovX" + "rFmj0Wj+85//nD179sSJExs2bJgzZ85TTz2F7HQIA01NTbA72Gw2hmF0Ol1LSwtFURaLBatu/Pjx" + "s2bNAkI1wzCkRNMIDCOmWCqVhoaGCgQCeAsC0uxADQQMw3C5XMRsY9gD0pP+E/YmELwg0bHtax0d" + "HfX19SkpKdCcy8vL7Xb78ePHp0+fTkxR5EXIB+iH8OdjTDgcDgmBJiXl4uPjXS5Xa2srSbKoqqpi" + "12bPzs4WCoXsltVqdUNDw0D9UmOIyOIfDUFYo0XNBvF4PIlEMlDv3CBWCfsMhnrvcrnAnq7INXfF" + "E+axqakJBTYCu7XYBshelwfhXzKZDHoCrnQ6nXV1dXw+Pz4+noT/nT17dt++fTNmzMjIyHC5XFqt" + "ls/n43ggK9/lcplMJpzW+IawbJqmOzo6RCIRKpFYLJaRt/2PCcJY9fT0CASCsa5mMwzT1dUllUoH" + "gcI93PTll1+uW7eusbFRKBTm5eX913/9V2Zmppeq40vYrRs2bDh//jyqHMfHx99+++1FRUUSieQq" + "E75K/SQCmUM0ZC/tote15HUNqfvQ66LtCyqZ+Nx6DWeFWEw65tsy7Sl+y666TArL9Vpar48xCAxB" + "xW1tbYXZKyBtXlLTA5fu7OwMCgqCBjLChA7AzgKgeDiiod6UlJSUlZVdvHgxNzdXKBRyuVyJRPLY" + "Y4/FxcVduHAhOjoatZEpikpPT29qauLz+cePH589ezZc9xhShKNjWsPCwpAjxjCM3W4PDg5etWrV" + "kiVL6urqKisrv/76682bN+fn599xxx0ikQiZxufOnTObzRKJBCHBEyZMWLZsWUhICAI/ccalpqYS" + "Fzoxx4wkF70sDmRCkLV4PF5GRsbu3bsDpe33k7DIk5OTBQLBwYMH58+fD3hFjD9qpx86dEir1ebn" + "5+MEnzBhAgDzUPyMoii3220ymdiBJBwOJyQkhOMhcKfU1NTDhw8Djoo8GhuWGBwxGvgXDSIKA82C" + "4Wg0mpqaGi+ww6s0TDRaEsCITVEmk/VaCzSAT1EoFF6ubBRvuKqujHUiaTyBJa94v16fi8VTX1/f" + "3t5OrgRoKjukkKZplUqVkpKCoEQcxi0tLRaLhW1dQrIrbNVePhCKoqRSKUqLURQVHh7uJwWLCHlj" + "ixwOxxAN0mQZyGQyL1TbMUoQ8i53L35BjAc7wOl0ymSy3NzcRx99dOrUqYhP88PDXS6XUCg8fvz4" + "119/7XQ6kcD5/fffv/TSS4cOHbosvoirNDjq/1Yd1jmFXtHXquuPx2YQmgntSTD2b07y0zEul+sr" + "7Vwu/xIUgwkTJgCGcCT3oFAovFygDBhqmUymUCgsFovZbMahjKlJSUnhcrlms9ntdgcFBU2aNAlu" + "6qKiovvvv3/27Nm5ubmNjY1ffPFFZ2dnTk6OWCz++eef29ranE4ndBi9Xn/hwgW73d7V1YXIYcqz" + "Fy5cuLB27dqGhobExMSZM2euWLHiz3/+s1Ao3L9/P1yRU6ZMEYlEDocDVgAgpGq1WoVCkZ2dPWHC" + "BKSIf/TRR1u3bkWJk179osM9gKgTPnzI8P2hvgoKjMyjaZq+9tprU1NT9+3bd/r0aRhrgOHH4XCM" + "RuOXX37pdDqzs7MhjSgUCh6Phx2HMEaj0Xjy5EkELJCWDQYDw6op7XA4DAaDVquFLYwgz7W3t4vF" + "YiQ7pKWlCYVC6Nhgzk6nEy2zuUpUVBTA0q6etiNAo8ubTQ0teJvYs/1fxlawcXFQUBDbqHyVxhzR" + "npjtgIMcwMjdF14AlqvRaDQajQ6Hw2QysdkZl8v1SqumKCouLg7IpbgXJmrAmJEbeTwe/Nu+T0Sk" + "InlN4Jf66Tw1qHCPy0XEBU3TtFwuH5zsBUcBRKUrQ8emaZqdOzBKCOvqzjvvRK1UQPXAF93XkmMH" + "39rt9jlz5qxYsSIuLq6tre2LL7743//93y+//DIxMTEhIYEaU+v2V0iD2KpX5bnRT9HR0SOJqUl7" + "IK/w72VMoUQmOVzTRApFtC3J8/zNb36zc+fOd999V6PRpKamOp3On3/++fnnnw8KClq2bFl0dHRu" + "bm5paelnn322ZMmSkJAQi8Xy7bfflpWV2e12+HuhC+HIrq2tXbdundFovP/++8PCwpxOZ01NDcMw" + "iBinKCoqKkooFMLg7nA4goODr7vuug0bNrz++uuPPPJIRESEw+FYu3btTz/9NHXqVJjpRzjknuQN" + "1dfXjwbXaP85TACzRJEAolKpli9f/uSTT7766qs6nW7ChAlIje7p6fn3v/998ODBgoKCOXPmBAUF" + "MQwjFArFYvHhw4fLy8sR/LVt27YzZ86wkREYhlEqlfBjEzie6OhoPp+/d+/enJwcyIE1NTW7du1K" + "TU2Njo7mcDjJycmRkZHnzp07d+4ctlVFRcWRI0dQURwaO8MwSO3W6XTD4Ze6Sl406tTsoSx9P3Ff" + "/ulyWVJHVUL4qOrM4Gg4YoOdTmdnZydAQfsaH3K2MQzjlSvoO6pE9SURHGq12rdBmLHtdrtAIGDX" + "YKQoCqo7VrvBYEA4kNcBg+eiYgQbWW1MEAKrBt1nt9tdV1cXGhoKKM6xvqpHLWFg09PTH3/8caTn" + "0R7ycxdSztLT04VC4V133RUfH4/0xaVLlx48eHDfvn033nhjfHz81Vkb5YQJ6v9WHW4d+wo4v0YD" + "IYHuV2UQwcqRyWQ0TXvZuzMzM1FeGyrKuHHj7r///nfeeefZZ5/Nz883GAxHjhzR6/VPP/10RESE" + "QCBYvXr1f//3f69bt66srCwuLq62thZVlDgcDuzpaWlpRHdKSEhIS0vbvHnzxYsXU1JSjEbj3r17" + "VSrV/PnzAetrt9uDgoLKy8sPHjxYXFwcFha2Zs0ag8Gwa9euhoaGkJAQs9lcXV09a9asm266SSKR" + "AMV6hOcOOltkZGRdXd1ldGgPCMoLVg+SxB6oDsyfP//w4cObNm16/vnnMzMzU1NTXS7X8ePHKysr" + "8/LynnnmmaSkJFhDxo8fv2DBgg0bNjz//PP5+fkOh2PPnj0UCwQXLzJp0qRvvvnm5MmTcrkcNWWw" + "ijZu3MjlcufNm+dyud5+++2Ojo6HH344LCwM6s/SpUv//Oc/v/baaxMnTmQYZt++fR0dHWxoOpqm" + "g4ODuVzuzz//nJGRkZOTM2Kg9L9OGnVq9iWpVwcdGCVCLJDdPaAT93I5/UaPs9G/z3as0HBIWhwO" + "xw+aNwk5k0qlfD7fZrPBvczmaL63+EZ29bqeu7u729raoqOjlUol+wKaBczjf6nDODoyiKMBIbzL" + "0F3QBD/mKg03ud3uhIQE2gNF2Z/oXIqi7r33XqfTCR8Oh8MBNEZcXNyBAwcuXrxotVph9R8NvPEq" + "+aH+b9Wenp6A50yyY5vZ5sury2bQ9KsdwJUrV9rtdrVa7XXUrlmzJjk5mZRfeuihh5RK5SeffHLg" + "wAGRSKTRaBYsWDB79mxgshQUFLz22msfffTRiRMnKioqBALB0qVL9+3bt2/fPih1Eolk0aJFOTk5" + "fD4/OTn5L3/5y/vvv19RUVFWVma1WvPz82+//fb8/Hw4fsLDw++8886PP/741VdfjY6ODg0NjY2N" + "ffbZZydOnPjDDz80NzerVKoHH3zw1ltvDQkJoSiKz+drNBqlUjliWCRg+wqFIiIiYkzUYsSZotVq" + "Ozo6EF8dkKVOeyAhVqxYkZ+fv2HDhvLy8kOHDiFccfr06atWrRo3bhykNYZhxGLx6tWrNRrN1q1b" + "Kysr7Xb7jTfeGB0dXVZWRlRxmqanTp0aFhb2+uuv79y58/nnn09OTr548aLdbg8LC/v6668BuafX" + "65cuXXrTTTfBQsQwzOLFi+12+zvvvLN+/Xqapq+55ppbbrmltLR00qRJEokE01RSUpKamrply5a6" + "urq1a9eSyvCjh5hfYlJe7u4Micaemk31bbiiWViOA6LLomDTNG0ymbhcbmCNaoMjYLzL5fKRKVk5" + "TNTPng9o6wK+8pINMiw42Uu26asz90owXpICsOzHEUkoNja2V1gs2pPFjWyIS3ZpVNEQ9SsOhwN3" + "6NhdyWOIoCQPKIyIYRiBQCCRSEjCOZCfjEYjHEqXnR9epaGTl8IW8M3IeKozkORnxOVyOJyr+V9j" + "mkbYH4ulMnfu3F5/WrRoEfmXYRiRSPSb3/xm8uTJTU1NwcHBSqUScby4oKKiorGxcc2aNV1dXRaL" + "JTg4OCkpqaWlpbS0FE8RiUSrVq2SSCRgcfn5+S+88EJ7ezssUBqNBuXZaU8y46OPPpqcnNzR0REX" + "F8fj8WDTfOihh+bNm9fZ2RkaGhoWFkbOdw6H88wzz4jFYnYjI0NjK8UX4x9YQzztqR1w2223TZ48" + "+ezZs42NjTRNZ2VlxcTEAMyM9uRMMQwTGhq6bNmyWbNm9fT0UBSVlZWFIuq4kqIohmHi4+OXL1++" + "c+dOmqYR3R0VFcXn8x9++GEOh1NdXR0dHR0XFzdz5kyEP5AAyfvuuy89Pb2qqkooFM6cOTMkJGT+" + "/PkajYYIk/Hx8S+++OKmTZtUKhVB5w3gaAydaA/o7+AilEcVjTH5m6Iom82m0+mUSiXbjk6citSY" + "snzYbDY+n++rR408cTicIWIsXfbaAP3nFAQetv9aQT+vDNTrk4BMLxXa12FI/u3s7DSZTKGhoezr" + "A56pPmhClYt+dmaIw3hVwR5h6v8aYzwI/O+8845YLF6yZElkZCS+NJvNTU1NEomESANXJ3FMk5fY" + "rVAoAgiUAB0byFJAqHI4HEKhUKFQAF4oUA8aPhqFou2vmYhU4PU92yhPe8qbp6ampqamkgtoD773" + "5s2bv/rqqz/84Q8TJkyIjo52OBwXLlw4cOBAZGQkcmoYhpFKpUSFcLvdoaGh7FOblEDDBUKh8Oab" + "b2Z3AOm10dHR0dHRFEsPwefi4mJqxDnnGDpwif1CoVAEvM80TSPrPiYmhl0WjvJxDRK1OTk5mVwD" + "Ld2rwXvuuefGG290uVzoMKwqCQkJM2bMoCgK+Iu9LtFJkyZNmjSJNMV+EJ41derU8ePHw+Iwak0k" + "FovFYrGApY+VNeZLY+A0IoSlYLPZurq6RCKRryg2hqYBXQXc9Gjo9hCLHjEs0KkA9mpA1J+gd8aD" + "WOZwOADbM1DnM2mHYoV/W61WpLSpVCpS1ZAaoNu81956dYBdOhXv0tDQgLrZNTU1nZ2dsbGx5Ain" + "B1JSfliJYRidTieTyUasHtUoefGr5EVYk1wut729vbS0NDw8HMVv3G73zp07W1tbUeju6vSNdcK5" + "Fh4ejuD/wALtoEGj0bh79+5t27Y1NDQAdzcoKCgjI2PRokUTJkwICgrqlW8PZV35MuT+3+V1y5WR" + "pTVMBNBsfB4xBWBAlnRS04QNOcYwTGFh4ZYtW1566SWNRlNYWKjVaquqqrq6ulavXh0TE4NNwS7D" + "juJeBMTUyyyOi/ErUTOgFOF7rB92z9GrUWJbv7zU18phGKatrS0sLGw4EpJJnT+v0ly+Th3MIymE" + "BqGRWEwo1lkJKwwatFqtAoEAug+Px0MyvJcKis8kTx6PdrlcXsZHFDwnSzHQIzFUIryapmmLxYLq" + "tqOwn/2hwKjZIyYVud1u5MP06u6w2+2o5z4CPQkIjfVyvoTsdnttbW14eLhXFvGIEcMwXV1dMpms" + "PyipJPVl0M9iu+6dTqfVakXVjcjISGRJkcuGgmDve5fL5aI9hG9InXmNRgNgScZDoyrSBoX6Ruxx" + "o+fFr5Iv0TS9ZMmSc+fOffTRR0ePHgV4T2lpaVhY2N1333014P8KIExfcnJySEgI4UgBaRntGI3G" + "DRs2fPDBB1wuNzk5WSQSMQxTWVm5cePG06dPP/XUUyUlJYTxeiX1eEmWXkgZvcqdXq/gxYS9Xo08" + "lGJVFmTfgqPBYrEIhUKBQMB+FnkK2/Hl9e7kwxgSdfpJGCKj0UhMM6gtPAIMoa/2fb+nPYXcfC+b" + "N2+e2+3esmVLaWkp4suysrKWLVs2b948YvfxmjX/EWfsSGP/HSDfX/JNh5tGP+se1jxNMjuX9LLQ" + "NO1fKIKmzdbYs7Ozp0+fjgwChmH6ki3RMlsv83pfYuIhbpvRRrQHpkcsFre3t19yrEYzBUDN9j0Y" + "Ak5YLlar1Wg0yuVyYEL6XgNFa8Q8ZkOnK8ZpQ9M0G8nwspBYLL7kGUN7grGH8iCn09nd3S0SiYKC" + "gnQ6nclk4nA4drvd5XK1tra2t7fDzMwwjMPhABxaQA4/t9t94sSJ0NBQjUZDOE5WVpZSqayrqzMY" + "DARoFCGUfD5/lDAmmqbH0K68SsNKEB0mT5788MMPf/LJJ7t27bLb7QqFIi8v79577y0oKBidp/5V" + "GijRNC0Wi6FGBlbHZhhm69at77zzTnh4+OrVq1HNmGGY2traDz/88Ouvv965c2d2djap4ABzJ/Hq" + "wPRJFBhIsWwHlFd2t9vtZnuevfRtUtUWCAWkZba/kaIoQP3hs9vtvnDhwqFDh3JzczMyMsg1eBAa" + "IR/Y1lJkwEJEHr5tEkCbyCAeTVEUsLjxTWtr65jI+6U9wbrz58+fNm3a2bNndTodj8cbN24cwsWH" + "0nIA+zncJBD8v/bOPLiNKk/83S2pdd+yJEu2fJ9x4iQ+QkII5poMBBhgOCYzUzPsQAG1zMzuLLPF" + "LGxtzW7V7DUstbvUMCwUxyzXQCXALoQQYMJCnJNgx8GJEzuOD1myLVn33Wqpf398f+5qJNvxodvv" + "80cqbkmvv/369ev3fd+LzLvVkbvPteCn6bVdsifG2hvhrh5vuummTZs2Qfb7K84AVxSgEDZlFgMm" + "OrjGYokDWowMiA7vhhw8WjiOs54V2EJjiE2jVywU1wS6BCRJQrbhfAmA4zgkgcgq8OTPzc299tpr" + "HR0d27ZtGxwchAQSLpeLoii/3x8KhUDBZhjm9OnTTqezu7vbZDKtvXNwHN+3b59AILj//vurq6vh" + "yNjYWDAYxDBsfHx8bm6urq6OIIiRkZGxsbFNmzaZzeYCMQyWzI4SYu3AzvQdd9yxadOm4eHhcDis" + "Vqs3btzIxiiioVIaQIoyeF9PTU253W6YkdbYrNvt3r9/P47jjz766PXXX8+uwFpbW//qr/4qFoup" + "VCpWIQfPTI/H4/P5otGoSCTSaDRyuZzV/8EpF3L2gk+mSqViy+pAO5FIZG5uzu/3g5eQSqXS6/WQ" + "LhR+zuPxaJr2+/1isVgul7Mn9Xg8kUiEz+drNBqtVgtWd4qi9u/f//bbb//85z+vq6vj7lBHIhFI" + "yS4QCKRSqVqt5hozIVuSQCBwOBwCgaCioiIbC57FXhnZtqawZwFfVugTi8UCzr2F/wZhbY8qlWrb" + "tm3scdi+KXDhM4VEIoHnIo87I9DVFy9ehMe58EfO8lEqldxsZ+uEDCb1yAtrUrOZ+bJDPp8PorCw" + "7Nx+aFMoFEL+/QUfYBzHIQUaIi/k/bHPzWQKFobe3t6+vj6JRCIWi/V6vd1upyiKYRgYomBMHhwc" + "/O1vfyuTyWpra2H3cS3igZu6wWD44x//KJfLf/SjH0FR6PHxcVCz4bkgCMJmsz311FMej+fxxx/P" + "iHqfEXIpxupCKBG5p7a2tra2NuUgumslA9feMjk56XQ619ggTPL9/f12yDlWWgAAIABJREFUu72n" + "pwd8H2BuBA3HaDT+xV/8hVgshoS9YAM4e/bsH//4x8HBwWAwqFKpuru79+zZs2HDBpFIFI1Gz5w5" + "Q5Lk7Ozs4cOHIdPv7t27r776aqVSCTOJzWY7cODAwYMHZ2ZmQIb6+vqHHnroqquuEgqFVqt1dnZW" + "KpUODw/39vZeffXVu3fvJkmyv7//nXfe6evrg/z5bW1t999/f3d3dyKR6O3t/fDDD4eHh//0pz+J" + "xeLt27dXVlZiGOZ0Ovfv3//ll1/abDa5XF5VVXXvvfe2t7fDsieZTD733HOxWEyr1X700Uetra1/" + "8zd/o9VqM2uSisVibLxo+kcYhmWqBtISsLHKGIbpdLpCtrmlwNpR2UvIeEbrAiccDkej0ULwPijJ" + "9wgbD5ib08E+RVZPt5xgjWLfKMmwIT6r+51ss+FwmM/npycwKKKbUUSiLpO8X9Eyz74WOWGto9Pp" + "2tra3nzzzWefffbBBx9UKBSBQABsI9FoNBwOe73eI0eOvPjii1999dVPf/rTjBhwgNtvv/3DDz98" + "6aWXcBy/9dZbDQYD+xbHcTwWiw0NDT333HMffvjhzp071+2uUzKZpCiKJMl1tb4pLkAvYtO0YIvk" + "iUEUNdyoQoigWWODMDy++OILp9PZ0NDALZMD2TESiURtbS3r3Y1h2Ndff/0v//IvfX19LS0t7e3t" + "drv9rbfeOnPmzF//9V9fddVVbrf7v/7rv0ZHRwOBAEVRWq22v7//6NGjP/vZz+666y65XB4Oh59/" + "/vk33nhDo9Fce+21Eonk/Pnzx44d4/F4ZWVlra2tvb29r7/+Okz7sVisvLw8FotdunTp3//93wcG" + "BhoaGjZu3DgxMfHpp5/GYjGNRiOTyd5+++1Lly7RNP3JJ5/MzMzo9frKyspoNPqf//mfb775plqt" + "tlgsfr//nXfeOXHixJNPPnn99deLxWKapt97772xsTGj0WgwGDQaDeQZXmOXssCbEczvKSnrmPl0" + "mwzDVFdXZ9t1kauacqeIogB8AUov8mWZCydIB5vf1SCbxBvs6qX0Tsnx5TidzrKysmzXQrpi8o5i" + "v4NrUrPh4tVqtUKhgIh8mqbXmHh96bpQzHymaIlEkpI7BCv+m1HUrKvO7+npOXjw4Pvvv3/p0qXv" + "fOc7crnc5/OBN+DAwMDFixcPHjw4OjqqVqu3bNmi0+nW8taJx+NsUF9NTU1zc/PAwMCzzz772Wef" + "bd++/cSJE5BpPBqNfv7554ODg0ePHvX7/c3NzWVlZevqpmDz84Pf77fb7SaTKV8J+RDLAS/mpCaI" + "pYE10+XLl10uF2gdmapDgeP47OwsRVGwBoCD8Xh8dnYW3LNxHBcKhSqVSiQS+f3+F1544eTJk/fe" + "e+/DDz9sNpudTuczzzyzb9++Q4cONTY2UhQ1NTV15syZm266ae/evS0tLSMjI0899dT+/fvb2to6" + "OzuHhoYOHz5cWVn5i1/84sYbb4Tatk899dSHH374xRdf1NXVeb3ekZERgiDuuuuuXbt2tbS0KBSK" + "S5cuTU9P33XXXQ888EBlZaXD4XjiiSd6e3vPnj17880333fffbFY7NNPP7322mvvuuuuhoYGDMM+" + "/fTT119//dvf/vYPfvCD6urqaDR67Nixv//7v/+7v/s7o9G4efNmZr5w1A9/+MM777xTr9ezLuUZ" + "AZqSy+WQICo9ObxKpcKyHM8JmyZdXV0Gg8HlcuV97x7Bkkwml3PrC2ertKhLQBUISqUyB+9obmbK" + "kiQD1mxWB4hEIg6HQ6vVsmniVwo42yyxRwvHlUplZvdxc0wymYxGowKBAE0EOWbtPQ+/6uzsrKur" + "Gx4ePnHixPj4uEgkcrvdGIZB0F0wGPT5fDweb+vWrRaLhVsJc0XA7ON2u8VisUKhgMw9NTU1crnc" + "arVOTU319fVFIhFQsycnJ1955RUIL9TpdE1NTaBkrsMBBovsbJTrQCAQywHU7Onp6VAoBGr2MstA" + "LAfYoIH1H5zI4XA8++yz58+fhzhts9n84x//eMuWLZcvXz537pxMJuvq6lIqlYFAQCgUPvDAA4OD" + "g19++eXY2Bg4BLW0tECYN8MwGzZsOHv27Pvvvz8+Pt7Z2XnkyBG73b5nz56Kigq73Y5hWCKR6Orq" + "+uyzz86dO+d0Ovl8Po/Hu/3223/xi19A2Vscxzs7Ox977DGLxcLn88fGxjAMUyqVFEWFQiGFQnHD" + "DTf4/f6hoaHrrrvurrvuAs+O3//+97FY7JFHHtm0aRM+n6d9YGDglVdeOXLkSGNjo1AojMVilZWV" + "9913H4RaZGNuZ6PWUzocw7DcJI6CE7HO8KFQaGnTCyI3UBQlFAqLyEqfx0x+JUMOEh5hGBaPx7lp" + "Dtm0GiXzyGeyoBdN05FIZC2OYfF4fGpqSqfTLX13s+3DkG0gVwq4AORblvXF2nse7AkqlWrLli0n" + "TpyIx+NOp5Md8zRN22w2DMMgQ2xHR0dFRcUaZeYabTAM2759+7vvvjszM5NIJGC/H457PB6v1wsl" + "iA0Gg9lsXoejC+ZliUSSqQU9AoFYBaAXVVZWymQySOIN3qSZap/H48FWKVvaiiRJiURis9mGhoaE" + "QuG2bds2b97s9/shM+X777/f398PycBpmp6amsIwzOl06vX6ZDK5ZcuWuro6mqbBHa+yspLH40Wj" + "UWx+p+DQoUNjY2M8Hg9c30OhEDvZwhGz2axSqeLxONTyKSsrk8lkBw8eHBoamp6eTiQSExMTkCkW" + "vKAhd+7MzIzX69VoNIlEYnR01GAwyOVymqbhuvh8/o9+9KP9+/f39fXt3buXJMlEIiGXy6GwRZY8" + "t+FmLaif5CAFGphMjx07Nj4+DqvKQCCwWKw4IpcMDw83NjYWUTKqklHS8ki2dV0oADE2Nmaz2VLC" + "Q0rp9mVmIY7P10mqrq5O3wpdDnA7w+Hw+Pi4UCiEsNKlXcfXKHMe4fP5CoUi72UP1iGZ6nmGYTo7" + "O7Vard1uh3UVq2mDnwVN0waDobGxUalUrnonnvXi4/7Z3d29Y8eO0dHRubk5Ho/H1qHBcRyqiDEM" + "09DQYDAYiv0xWTW5yYiLQCAWA54+rVYrFotBbQuFQhRFrbFZeLQbGxs///xzn8/HBqkZDIbHHnsM" + "Sio++eSTn3/++eTkZCAQADGcTudHH32k0+mkUilUFlSr1Zs2baqoqIDJs6KiQq1WQ5UsgiBomiZJ" + "kt3cxHGcz+eDlhsIBCwWy8aNG5PJ5C233ALGcGw+hJg1sH/yySdPP/20zWbT6XQajSYWi8ViMegH" + "1vsvkUiEw2EoXQtnEYlE8DaBL+A4bjabeTxeMBhkVU140QgEgqwW/l1w8szNjMowjNPpDAQC8Cdb" + "y2fdvs4KBOR6uQ7JwR0HF2bIrAEJhmZnZ6EebcmMt0zau3g83nL2uhZ0AYLtW5VKdf3111+xhWLv" + "fYIgkLUtB4BtgbscyUjPw/DbuXNnQ0PDyMhIJBLh7rVDfVQcx7/73e/u2LEjg4shHMcTiYRAINi1" + "a9ehQ4fm5ubAOAOfwmzFMIzJZNq7d29raytW/E/K6lifV41AFBQ4joOjOCiuGQza3LJli0KhOHLk" + "yK5du6CEIY7jMpkMwzClUqnRaCDBODYfKbp169Z//Md/vOaaa9jgUo/HQxCETCaz2+04jjscDr/f" + "L5fL2cUJrPwYhikvL1epVA8++OBDDz3E1lIJBAIOh0OtVrNutPh8imkcx71e76uvvmq32//hH/7h" + "nnvugXfQE0888eabb7JKNSjkXq83HA6nmGrZICM2RyA3OyD7UUZ6sjDh+mENDg5GIhGFQoFm9fyy" + "YcOGfIuAKDUIgmAYpq6urqKiwmq10jQtFAqNRmMp6dgYhuUh0AJcs9LfE7FYbO2ZSBEIbH4V4vV6" + "g8FgeiqXjLQvFAqbmprSjdXwf4VCUV1dnfHFAbRWW1urUqkWVOBxHG9ra7NYLBk8aVaJxWJQCy3f" + "giAQiOKgtbW1rq7u9OnThw8fhhkeNFIo0x2PxyUSidlslkgkSqVSKpUmEgmKokBzTiQSHo/nlVde" + "2bdvH6Rnw3F8fHx8bm6ODeYkSTIYDM7Ozkaj0Y0bNyqVyoGBAfD6hvYPHDjw61//+ssvvwR52Eke" + "WhgbG3M6nc3Nza2treDoBGfH0tKt4/N1yAiCMBgMs7Oz4GFO0zQo+X19fbFYbOPGjWKxmM/na7Xa" + "3NiXCmdC3rx5s0Qi4cpTOLIhEIi1wKZ1BGt2vsXJFjlVs2F+tFqtMzMz8Xg85VOfz5fB2C0EIktJ" + "5qBBgiB27drFOg2mfNrQ0NDd3Q1qdgYFgKZaWlra2trkcnl64yRJgoWn8NciIOHU1BTMBoUvMAKB" + "yC8w2RoMhttuu00ikbz88stvv/322NjY9PT03Nyc2+2emZkJhUJ8Pl8qlULCyM7OTpfL9ac//Wl0" + "dNTj8UxPT7/88ssvvvji0NAQLELAds3Vfnk8Hk3TPp8vGo1CGbD+/v633nprcHDQZrMdPXr0xRdf" + "PH78eDAYhO/j87BCCoXCmZmZCxcuOBwOr9d76tSpr7/+OhgMTk5Oejwe1i4di8Wi0Wg8Hsdx/M47" + "74zFYs8///zw8HA8HofqjE8//bREItm9e7dUKuXxeK2trWw4evYoqHxjbBAimxiJjZNCIBDFDsMw" + "IpFIIpGUcPnVXCdJYhjG4XBIJJL0KkewX5tjeRAlCYwrKECSvfa7urrq6upGRkbC4TD3U0hdazQa" + "Mx5OBmssgiC2bNny6aef+nw+7knBY7y1tVUmkxVLRlChUIiCvhCIfJHtRAYZ1wlBK969e/fs7Oyr" + "r776u9/97pNPPtFoNGKxWCgURqPR8+fPKxQKiUSSTCZlMtlPfvITl8t16NAhq9VaVVXldDpPnjyp" + "0+m+9a1vGY3G2dlZkiRTYotwHCdJUiwW83g8s9n8wx/+cHJy8q233jp16pRarR4fH3e73XfffXdX" + "Vxf+zVpl0Ehzc/OuXbv+8Ic/PPfccydOnCBJcnBwEApSRCIRyKxWXl5eVlbW19e3b9++PXv2NDc3" + "f//73z98+PAHH3wQDodvv/32cDgMVbL//M//vKWlBXpSp9PpdLqsllmJx+MFlXLM6XRyTTJQGh3D" + "sBJzKy12CmfAIIoIWNBCuscSfpxzrdbiOF5RUQFr65SPcpM7HrEeAP12LVru0kVE2FQC7e3tx48f" + "j0Qi3JOqVKrNmzfr9fps1JCEs2zZskWr1V6+fDlFqq1btzY0NEAutAKftkC8tWdiRyAQqybbE0U2" + "GicIQqPRPPzww3V1dfv27RsYGIBqQyRJCoXCioqKm2++ecuWLVD8ubGx8Ze//OXzzz9/5swZcMne" + "sWPHfffdt337doFAoFard+7cKRKJ9Ho9pDrDcbylpWX37t0bN24UiUTJZHL79u1/+7d/e+jQoZGR" + "EZvNZjQa9+7de9ttt1VWVmIY1t7e3tPTU1VVxSrqQqHwz/7szwiCOHLkyMDAAE3T1dXV3/nOdyKR" + "SGdnp16vZximtrb21ltvfeGFF9544w2JRFJZWanX6x9//PHnn39+bGzs5ZdfjkQiMpns8ccf/973" + "vqdQKOA2XXfdddu2bdPpdNnoVTiFx+OBbG0Zb391DAwMhMNhtVoNf4bD4cHBQblc3tDQsLpsu4hs" + "UIA3onAqeCMWA3Zn3G733NxcuoNzXuTJxpjJg/VYq9Xy+fz0oueFrxggiou16NjBYFAkEnEraaUA" + "E0RnZ6dOp5uenmaNQrCE6uzshLDt1QmwBKD8NzY2VlZWfv3116DhY/OPT1NTU86qm2YElBUcgcgj" + "Pp8PSmEV0TMI4Xwikei2227bvn37xYsXQ6EQVNKWyWQSiaS8vBx27SE0uqmp6cknn3Q4HBRF4Thu" + "sVhUKhVYUaRS6YMPPghei2wPbNmyxWKxsJUUBQLBzp07d+7cGYlEbDabSqXSarXY/Ky1ffv2yspK" + "iUTC2lcZhqmsrPzZz3523333QYlTs9ms0+m4ydJ4PN73vve9ioqKCxcudHV1Qdmzq6++uqqqyu/3" + "nzp1isfjXXPNNewuJPz2xhtvzN4yCZoVCoUF5b3pdDohDxxc+MGDB5977rm9e/dWVVUhg3YhgON4" + "PB6HnPn5luX/A6OioJwyEIuB47jL5XK73YWgZmPZ0UNzqmbj81VtFxz9aMZEZARIdcM68q0IeMZC" + "odDx48ebmpqqqqqWMGhjGLZ582aTycSG+cHPN2/ebDabIbFNNiLDGYZRKBSbN28+fvw4uCACGo2m" + "pqZmLSXEck+xyIlAlBjwFp6dnZVKpVBKKuONX7582e12Z2M6Akt1MpnUarVXX311+tnZ1RJo2hqN" + "BnRjbD6DN+umqNFoUsTDcZz9MkDTNBRSqa+vxzjlJLB5Bz1uC+DWrlAolEoltwUoCo3NT3pKpXLP" + "nj179uxhjyQSCdCroU4EhmHxeJzrTgku01l1sFSr1eAtXyAGbfZi4Z7+z//8z+TkZE1NjVAoRO+O" + "vAOLHIfDYbVa116uL1PAwBgeHo5Go0KhMN/iIK4A7I1CRoz8SgLpeDM+t+TBmo2s1oisQlFUIBCQ" + "y+UikWjVI22J+DcYwKDuGgyGpqam06dPu91u+EitVm/bts1kMmXPZwkc2tva2tRq9ezsLBSJYRgG" + "ctsuYYFHIBAIAGYnnU6XDasgqNmQdQzHcVAv2SxWq26WmwAMJmGo3ZXiFMNWWoY/QSdn13A8Ho/7" + "hQXjg9iqWmyDkHwLJlv27bBYC4udkds5oO1Drg34FCqfsYm+IeqbeyHg1r7GPlwCEKlAFGyAmQfe" + "p3v37r377ru7u7tTegb75tjIFNx7mrJw5f7J9WXjCpPy/ezJtkxYkRZchHMfopQLWaJBhmFcLpfP" + "5yscazb7+GBLps0vKDVkweGUV4kWJYPiwVUHAgFIA7n2BtcoCThlCASCzK7e86BmF+zoQZQGfD5/" + "1en0YHDK5fIbb7xxse/AogpSjuE4XldXJ5fLvV4vfNTU1NTU1JRVXReWdG1tbUajcXh4GMpl8/n8" + "q666qqqqKnvnRSAQJYZOp8tGszBH1dfXq9VqhmFgCQ5aHHwEimj6D1PWxCk29gUX/Qt+J/1r7BsB" + "FGYMw+BfmMm5319QMK7LN0y53FOnn5FViblnXExy9tOU1JUpv4I/ucoS93TYlXpvacBOPjw8HAgE" + "lv+rbAMdy24l79mzB5wF0rdsUrSUjJCyWE1pmf2TmU/Lgn1T5V5Mzc7IjsDqFtKskMtXs7l/gvma" + "/UnKrlOBAI/Jhg0bILfCYl+7oszZ2LhZAu65YF8p/dMFd0nSd3mySiKRIAgiPclu+rx0xUcS3gtO" + "pzMUChWC/RUGTMbFQJm9EaUGBOmlH7/iY8ydJliTdfoX/H4/RDPCwfb2dq1Wa7VaMQwjCKKhoUGn" + "08GSJWOX9E1gXWixWDZs2HDmzBmv1wvOk5B3LRsumggEoiRZOtfjqoE2zWazXC6H6ZSm6UAgEIlE" + "YDkoFAoZhonH47BioygK1m0p5ZpSEqMuppyvAoIgoI5XijEfTMrgrU0QRCwWYxeUBEFwC18TBCEW" + "iwUCQbpIkIRypaLC6TAMS39/gbTQIFQsI0kyGo2yp5BIJBRFpXhdrjStLMMw58+fDwQCYMzJVxIp" + "7klBqWZVa/BfEAgEoIdwLaggLT5finzpU6zoBjEMA5oku1sEf7ILBnjds/9inO0S7mhhT8dtDcRe" + "zBS8hJwQDbGEtNg393fYE4EkKY8S+/TB1gy7A5VIJLgRBKFQiJvAmHtrltOTuQGu3ePxUBTF5/MX" + "7D04vvQAyJnixzr7wH2BBR77LKcYunP8SLJxLtBd7M7dguKtVELofwgMwedjcDIo/PKB84rF4mw0" + "jtRsRAmS/qizU9hi+9PcfbilH3iYblg9vKOjo6Gh4cKFC6FQSKPRbNq0yWAwZDuLDJivOzs7P/74" + "Y7/fj2FYQ0NDbW1taddFQCAQmSVLlf9gIp2amoLZiSAIp9P5zjvv2O12kiQpiqqtrY1Go3Nzc0Kh" + "UCQSTU9Pi8XiZDIZCoW49oSenh5sfpGn1WoVCgWYPtYuoUAgcDqdPp/PaDTCqdnjNpttdnZWrVZL" + "JJLR0VG2PiKPx3M4HLFYjBWvvb1dr9dzky2B9iWXyxOJRCQSWb76AVsPUDyisrIy5YeggQcCAR6P" + "19/fr1QqjUbjyMgIK3ZLS4vVag0EAtz5H3pvmSSTSaFQeOrUKQhQzON7hHv2cDh8/vz5YDCo1+v5" + "fL7P53O5XCqVSqFQ0DQNyUdB0ysvL4fw4HA4DJewxCm0Wq3f779i1qXa2lpWt/f5fHK5HDRMmqZD" + "oRBstTMMY7ValUqlRqMJBoMulyuZTJpMJq/Xq1QqxWIxDImZmRnYY0okEmq1GtKGYRjG5/OVSqXH" + "41nQBUOr1fp8vpTdE2jEaDRKpdIFbxNb9ozrUpFMJr1er0KhIAjCbrfrdDqRSMTt8+np6VAoZDKZ" + "SJK02WzJZJLP5xuNxlAoBKEfNE2fPn26pqbGYDDANofdbjcajV9++SWkYsVxPBaLuVwumqYXNHVk" + "G+7K7d133zWZTAtmXkgkEnq9Xi6XLzbI4aYvtpGRcdg4R5Ikk8kk9GFrayuMT4FAQFEUZOEBP81w" + "OMwwjEQiIQgiHA7D8BCJRBRFZTbiA3ZCYWSSJAn7oVarVaVSVVRUwGozGo1CN8LTx25+wQgBv07Y" + "PVywfRzHIfIxg2Kvmiy56yM1G1GCpD8n8Xg8EAhIpdKU9Aawa8jj8Xg8HryblwjMgIPc7Dgw2XV0" + "dBw9ejQUCtXW1nZ1dSkUityYlHfu3NnQ0GCz2aLRKORdy9I0gUAgECtFo9FUVlaCgdTj8ezfv//w" + "4cOweDUYDBRF+f1+gUBAkqTb7YYFGTenI4ZhBw4cgP8wDGMymfR6/eTkpMfjwRZyk17sCJa2foJt" + "Sq/XGwqF1Go1mNZBHSIIwu12e71emUwmFApnZmbglQHWXdDN2HZqa2uVSmWKtgZqdjKZXKmaLRAI" + "7HY7hmF6vZ6Vn9U5KYqKRCIEQYyPj4vFYrVaDV8GzGazy+UCRS6995YgpU9GRkZAVxcKhWazOS/K" + "EjhB+Hw+HMftdvs//dM/yeXypqYm2IZwOp1KpbKystLj8djtdugfmqarqqrA9TQcDoNusFj7OI6r" + "1Wqfz8fVSRYcSN3d3TAkwuGwzWazWCywPAiFQrOzszqdDtYPo6OjUqm0sbHRbrfPzs4mk8mamhqn" + "06lWq41GI9iNh4aGYHsomUyqVCqapkHNJghCpVKBmn1FOdlhDOnrzWZzukkAx3F2owHKv2Pz2zQT" + "ExNVVVUMw1y4cAHy7cNPQH26cOFCMBisqqqC3SVYF23YsMFut7tcLhzH4/H46OioXq/XaDTQ7S6X" + "S6vVTk9Pw24ajuORSGR6ejoldV8OYCOx2U74+OOPIb9jSsfCaDEYDBaLhZuSkAvcdJVKBTHnWb0Q" + "6EaHw2EymRQKBUVRDofD4XD8/Oc/d7lcBEFACp7R0VGGYVpaWlQq1ddff01R1IYNG8Ri8fDwsMPh" + "wDCsvr5+enoaNPBMCQxbM+Ddo9frhULh3Nzc6OioyWS65ZZbQOcfHR0lSZJhGLvdjuO4WCyGwQBe" + "J5ClyGq1LtiNYB4fHBz0+/3prua5J0un5lMUhXImIUoV7owDJuiUj/x+v9fr1Wq1crk8HA7HYjGR" + "SCSXy5dpjmY4Zb2sVmt3d7fFYsmBKQDciqqqqrq7u/v6+tRq9Y4dOwwGQ27UewQCgVgCmKCqq6vv" + "v//+8fHx/v5+OA4Lx0Qi4XQ607+fvkt49OjRXIqdTorqzv4J/2GvK7OMjIws8SlBED6fb2ZmhquE" + "g1KaIvlyeg96HuMEGEORjtbW1gcffFCr1eZeWerq6rr77rtfeuklj8fj9XpPnjyJYdinn34K38EX" + "iUE9ceJExuVhOzDlpAvKcOjQIfb/x44dy7gw6SzWFWshpRuPHDnC/ZPP57tcrvSTCgQCSBMI5fTy" + "5VUHBmrwtMdx/MyZM1fsn/S9ORaFQsHairFFejsbtwBwOBzBYBDDMJlM5vP5oLJdRUWFVCqdnJyM" + "RCKQb99ut4OOWl5e7na7F7Mbr0hs/JvJ/LC0/hGLxf39/dDVs7Oz0OGwBSkSiWKxGDuZwATi8/nY" + "WjwpbbJLVrB1mUymLHlu5xG+2+02GAwYsoAhShR44EmSXLCgNE3TsVgMNHCRSJRIJCDCbZlhafCd" + "9vb2qqqq6enp7u7u8vLy3IS0wXX19PR88sknDQ0NW7duzYvlAYFAINIBV9vrr7++r68vHo87nc5Y" + "LMbn86VSaSQSYcv/gHEJWyRfV4pxG4CpD4KiWW9DdnnNuizCuh+fj56FxN3wBYIgIOIUIonA0sL6" + "A0NT8BFEG4HVJd3BnqZp8BjP+IQPbYIhVCAQQAUy6KjFahSxJhOIpwXZlm4f4r3hGtneI0myqanp" + "iSee+Pa3vw0+z5m9tCVgl+a/+tWvLl269Pnnn0MQAXtdIC2syFfRPnfkgImYJMl4PM7j8ViXBGgf" + "Nn3YbHDg/srn86EQOvzJ5pMHYdggf8g4ADeOe/YV2XiZ+eTqMA75fD7rvguXHwqF4vE4XAWb8xXC" + "9SG+F6L3eTyeQCBYi3mZ/S1cF8bJww9SwdMET1xXV1dbWxtJkjlWKOB011577RtvvDExMQFyLqiw" + "wRigKAp6j32oU9yt4e7DM8ge5PF4Uqk0Go0mEgn2P+CPg2FYJBKB7Qb48hX7PBqNsm7V6Vy4cIH7" + "J9zHqakp9sjY2Bgzn1Mdx/GZmRlsJUFAfD4fbt+CfubQdRRFgfwwhGAbBdzaL126BGdno99hew4W" + "zzAkYrHY3NwchmHw7DDzmQLgeYGJGqowgGYukUjuuOOOsrKyHFiqcgnudrtVKlUpXdJiIH/adQiE" + "DAmFwsVmH3htwzzFBt1B8ptlngLG1X//939PTEzcc889zc3NuRkZKp8BAAAYkElEQVRjMBNFIpE3" + "33yzoaGhq6sLXvw5ODUCgUAsB4ZhbDbb+fPnrVbr3NycWCzW6/UOhyMUCrFGjJmZGYZhNBoNqMHc" + "n4MlkwWfT3AlEolqamo8Ho/T6QQVUSKRqNVqmqbB7JNIJEwmExtjOTs7q9FozGYzTdNut1ssFut0" + "Or/fHwqF5HK5x+MhCAK8Im02G0mSSqUyHA5DzHYkEvH7/VqtViaTpZg0rVbrzMwMaOYZ7zc+n69W" + "q10uV319vdVqraiosNvtPB6vsbExJb8mqEDj4+MWi0UoFLpcLnAp5y7K09sHX+jh4WHY+DAYDKFQ" + "iKKoqqqqRx555KabbgKdIfdLJtBjT548+Yc//OHixYter9disfD5/GAwGI1G3W53eXm5VCrFVp5U" + "HHTUmpqaYDAYCAQYhmloaJiZmVEoFGNjY3AfIXY6mUwGg8GvvvoKfphMJquqqrRa7cWLFyEWoLq6" + "Wi6XRyIRr9cL+/gGgwEWEi6XSyQSRSIRjUbDvpEZhpmbm1OpVMvMjZpMJiHGzePx6HQ6vV5vtVqD" + "wSCIp9fre3t7wWcew7D6+npoeXx8PBqNikQigiAqKysnJiaEQqFer5+bm1MoFNwi5At6SsN/WGMm" + "/AfEJknS5XJJJBJYdWDz7u4ul4skSalUmkgkLBbLvffe29XVlZeq5nBRzz///GeffQYR8pB/MUUS" + "eLJmZ2chbS1oj8u5KQzDiMXiDRs22Gy2YDDY3NxstVpnZ2eNRqPZbObxeJcvX1apVFBbgWEYSCKw" + "YMtwRqvVyuPxwMyZIifDMP39/dy7gGFYJBJJJpNs8l02cyRbBGH5j0MymVQoFGq1enp6On0zLplM" + "QlaCqakpyG9XVVXlcrkSiURzc3M8Hr9w4QLsEcTjca61HzatIMIcLNvcOG3Qvdk+h/gLiqIoioIl" + "+saNG//t3/6tqakpX8kXs0ROE9ZnlSvuf0Ba0RVpUIjiBcaD1+tNJBLcF8xiJJPJ0dFRnU4H6UnY" + "GWE5J8K+qc/ncoJgtwkwtIWEQCAKjOUsMCKRCJ/PX9AZZ2xsLOUI2PdIktTpdKFQCJQlgiAkEglE" + "REMGJoZhwH0J1Gyn0wl5s+B0PB4PVoSw2gsEAkKhEKzEgUCAJEmhUMjNwR4OhyG5d4owPp8PCj1k" + "w3eUz+crFAqPx2M2m51OZ1lZmcvl4vF4arV6wbW72+2GNx3YVyORyOzs7BLtg5rd19enUqlCoVBF" + "RQWkgm9sbKyvrwcLar7eKdClc3NzExMTbre7rq6Oz+cHAoFoNOp0OisrK1llY6UQBGEymSKRCGjL" + "MIrkcvnU1BRb5g3Us1AoxLpM0zTd1NSk1+vPnj0bCoVA34B+c7vdBEEIhUKj0QjLS6fTKRQKI5GI" + "TqfjdqDD4dBoNMvR6GCQi0QioVDo8/k0Go1SqXQ4HJFIhKZppVKp1Wp7e3vHxsZg4LW1tcEu1fDw" + "cDQaFYvFsJtw6dIlkUhUXl4+OzsLqvKK+grEcDqdGo1GKBQ6nU5IugZ7ZBA2DFkMpVIpTdMWiwVO" + "kZdhwz6AU1NT4NmuVCoX/Cafz5+amhoZGYFroSgK8ulgS0qeSCRkMllHR8f4+HggENi0adPY2Nj4" + "+HhNTU1VVRWfz7948aJGo9FoNPD9JW43TDtjY2PgJr3g7MF114cp7uLFi4FAoLW1Fbb/CIJwuVzp" + "+YaWA03TWq1Wp9Ndvnw5vYQVTdOtra0Yho2MjICHS1NTEyQd2LhxYzKZ7O3t9fl8sFsBaQVgqqyr" + "q5uamqqqqopEIlNTUy0tLV6vlyTJcDgsk8mkUils08BOqE6nI0lydnZ2bGysoaFBJpN1d3c3Nzfn" + "3hUi25SImg27R+xLMf1TmLL9fr/BYIA7nXshEbkEbjr4osBzu9hNZ93nRkdHtVqtVqt1Op0kScrl" + "8pXatFP2I3PjOs46DmX7XAgEAnFFUoqEwQY39k0rGftl1pS0YLLZJXSSK5YiSzcQsVMlwzDghs0V" + "CZRzVhhI1caWiUov/wNfzsEkz7qvw7nSrU/wEetVDpKvpaIk2zlrEXuNQOdno2XuwIArLXwn1fTB" + "XIACg0qWx5HDKgJ5OXu2gRiZvD+YXBiGYaPBWWs2RE9EIpEl3Ei5pHyzcK4uU5SImg0BBuCEkP4p" + "PHgOhwOqd8hkssUcZkrvBhcyBTghgkhWq1UoFGq12uWbptk6k0scyRIr7UY01BEIRJaAmEbWOJzy" + "EbZQTp0lZqQlootTSgqnx1imzMasdyWrn3N9MlmfIO5BVtTFNvGZ+VDwLAFx41CNmVX7lwiAYi9h" + "wX2BdNKXf9APBbKU527QYIvkkF8F3JEDkaU4p3I1OJZjaSXc2THD3azhNsuKxPW+5n5hRW9qrhgE" + "p7Y2M18WO6WMXMpYxdJ8v1fdY9wHIeWjlFMUgq8oM19xeulLZjhp/7i3dWkWnDe4nY99cxgs3edL" + "r8TSH15QX7lZ9Ln5C68o/IKXs9hvUwYze6KUscRWaAfAj4adpiDrAftpigM5uKPDmGEzXBTIzJNZ" + "il7NhnszNTXl9XorKytZ34+VAnG5bBILRA7IQZ+vVKWE4RQKhcANjFmDPzYUXylABxg2a0u2i3sj" + "EIi8w/r1YBiWg+mIYRhwLoXoWQQCgShYisjqkG65yZelilUbU7YnIPMfu5uZvueSvh8BG14lFoyd" + "Qik4mjIMAzE8UIdwpRsH8P1AIAA5LbMi4kJnXM9AD/j9/nA4nNU+X9qrcMHvYxgmlUrFYnE8Hvd4" + "PJCHfDEhF7yVMPUMDg6OjIxEo9FlbpQuh5SaZAueN/1IkgPDMNFo1G63Q0xjRqRCIBAFC8xpU1NT" + "k5OTCybuzvjpVCqVSCTK9okQCARijax0iZhH0j1Z8iU5Pg/kMGLBcRz+5f6HC/fn7P9TTOKlx+qj" + "dwoHHMerq6t1Op1KpcJWO/LEYnHONlRKe0gtH4lEsvY+hyIfmXU1SXEE8vv9JEmmh/Rzs5RzfwsK" + "rcfjoSgKatjA/LhGCbluTkt8gevtA7sD7IWsMWAPgUAUIwzDCIXCcDgM2V+z/QJaaaYlBAKBQCBK" + "kqJ3GgcYhoGadYVfOhjKMguFQuSyu0ZA4YTC9wqFInvO52zJipStxHg8DqVKuaMO0lRAYVhQdOG3" + "sBGwFgkhia5IJEqXBMMwhmF8Ph/UdWSddmia9nq94XAYqjtCMt7Fyq4iEIhShWGYWCwGtaNzuc97" + "xURlCAQCgUCUKiVi2qJp+ty5c+Xl5WazeRVv9BUVcFo1sKPhdru9Xq/JZJLL5VgRWrYzGA2SkaYg" + "71327p3L5bpw4UJtba1MJmMPguRjY2MDAwMdHR21tbXs8UQiMTw8/MUXX0BBV4qidDpdd3d3S0uL" + "SqVai007Eom89NJLVVVVu3fvTq91T9P0vn37dDpdT08P1LGIxWJnz5794IMPhoaGKIrSarUWi+W6" + "667r6OiAshxFN/YQCMSqyUsx22AwSJIk8iFHIBAIxDqE9+tf/zrfMmQAHMenp6dlMplcLl/FSsLl" + "ckG2qmzIxgXHcZqmcRyXyWRFmlIPPKUzoqStsQX4uUAgyFK1atgWeeutt373u9/V1NTU19enZF98" + "5513nnnmmcrKytbWVjYT49DQ0G9/+9s333xzcHDQZrNNTk6ePn36yJEj0Wi0uroadPVViAr+Gs88" + "88zJkyfb2tpgO4mbT+LChQu/+c1vBAJBV1eXWCymabq3t/fpp5/u7e2dnp4Oh8MOh+OLL74YGBiw" + "WCwWi6VIhx8CgVgFmdpWW+neqN/vz0smSG6GagQCgUCsgnxlWSslSsSaTRBEV1fXggMi/WD6EZIk" + "c+DCDSdVqVQQQ150QL8Fg0GGYaCmdCE8ftlLFAnXe+7cua+//trlcqUEYOM47nA4pqenfT4fTdPg" + "p01R1EsvvXTixImenp6enh6z2SwSiYaGhl555ZUXX3yxpqbm1ltvXV1cA8MwMpls27ZtL7/88pkz" + "ZzZv3iwWi9mPMAx755133G53U1OTUqnEcXxmZuaFF14YGRn58Y9/3NnZqVaro9Ho/v3733333dde" + "e626urq5uRlDy1AEArESVjrfKpXK3Ge4gdQYEolELBajKQ6BQCBWR8mnAc8BJaJmY4tvukBsWEoJ" + "zZQjudR7Iflz3qsLro4CLH6QbWG2bNly+PBhPp+fnsQb/NXBTATD7/Lly6dOnbrqqqt+9atf1dfX" + "w8EdO3aUl5f/8z//s9vthhjp1UkCe0n79+8/efLkjTfeWF9fD8dxHPd6vadOnTKZTI2NjTC0hoeH" + "R0ZGdu7cee+991ZVVYEk9fX1AoHg/Pnzc3Nza+wWBAKx3oCatCuqBZivdGj4fOngvJwdgUAgip1k" + "Mul2u1UqFXJ+XAulo2YvBuxqSyQSbF4V9/v9fD6fm0cql34RRR0TyzDMqiuTFx0wKsxms1AohMR1" + "7EHY4aNpmq2hlUgkeDze2NhYMBg0Go1isTgSiUA7PB5v586djz32WE1NTTwe5/P5q9C0oc9bWlqq" + "q6tPnDgxODhYU1MDyj+O4319fXa7/eabb66trQV5KIrC5pO0QVExDMPUavUjjzxy+fLlhoaGoh6H" + "CASCBRykc2BziMVi586dMxgMq8uBkjMIgtBqtfmWAoFAIIobSOWbbymKm+KwqS7nNq/lrV/IK4bC" + "gaZpv9+/3p66kZERj8czMzMDzuGJRCKRSOA4nkgkuLZ9WOOaTCaVSvXZZ58dP37c6/XG43GIR5BI" + "JLfddltTU1M0GgXlfKVigMHcZDJt2LAhEAj09/f7fD5oh6bpjz76yO12NzY2arVaMDQpFAqpVHri" + "xImPP/54eno6Ho8LBAKGYSALmtFoRGMegSh2YAbw+/2BQACq92XvRAzDeL3egwcPDg0NQYaO7J0O" + "gUAgEPmFIAij0Zjj4hSlRxGkQGMNhqu70xKJhDUeQgtisTgvOVeLHZqmw+EwSZJF5ECyRi93HMf3" + "799//PjxeDzOMMzExMSFCxcmJiampqYuXrz4xRdfDA8P9/T0bNmyBepRazQap9N58uTJM2fOXLx4" + "cWpqCqzcQqEQJIGEbauzJEMLwWDw1KlT4XC4o6PDYDAQBGG1Wn//+98zDPPd7363pqYGGocsaOfO" + "nTt9+vTQ0JDdbgdJQE4UbINAlADwFFMURRBEVl9qMKvADqPFYtFqtUUa94RAIBCIZYLWimunCJzG" + "aZqORCIikShfUV4IgCRJtVpdXOW+WZPLqmcKmqaTyeSpU6eGh4cJgkgmkwKBgCTJeDzucrn8fr/b" + "7Y5EIjA4SZL8yU9+IpVKP/jgg0OHDh04cMBkMjU1NX3rW9+6+uqrdTqdVCpddQfCJezatau9vf3L" + "L78cHx/ftGkTwzAff/yxzWbbvXt3Y2Mjq8CXlZU9+uijFRUVr7322vHjxz///PPy8vKmpqYbbrjh" + "pptuKi8vRwkkEYjSAAr45eZEN954I4b8vxAIBAKBWAZFYM2maRpqbxaREbUkwXEccsZe8S4UggrH" + "5kWnKAqcXlYqErRw5MiRM2fOmM3mbdu2NTU11dbWWiyW8vLyhoYGiqI8Hs8111zT1dUFpiSGYaRS" + "aVdX144dOywWi1gsttlsg4ODX331lc1mUyqVer1+1bVtoH2RSOT3+3t7e41GY3t7O03T//Ef/zE+" + "Pv7AAw90d3ezNwjqxm3YsGH79u0tLS1Go/Hy5cunT5/+6quv1Gp1XV0dVLLN+21CIBDFAsMw8Xgc" + "2TcQCAQCgVgORWDNFggEKpVq1fmZERlkmfpz4SQkT86zahdHi8UilUp37tz5y1/+srKyEptXdzEM" + "e/XVV3/zm9+o1Wpu2ZhEIkEQRF1dXX19/X333Xfx4sVjx469//777733nslkam9vl0ql2Bo6h2GY" + "np6eN9544/jx47t378ZxfHJysrW1taGhgWsnTyaTkBPYYrFUV1ffc889t9566+uvv/7hhx++/fbb" + "Gzdu3L59eyHcIAQCUSzgOI58ygqTwnnnIhAIxCqAShalZ0/Nj5q9ImsnRJ1lVZ7VUQg22xxzxQrk" + "cNDv94tEIrCX5gsQTKFQYKtdfMDVGQwGsVgMmc8gBpLH40Fq39bWVpVKNT4+7vV6dTodjuNutzsQ" + "CGi1Wrh2qVS6devWjo6Orq6uv/zLvxwaGnK5XGq1etXDBrzWLRZLe3v7e++9d+7cOa/X63a7b7nl" + "FrZqF/zb398/MTGxbds2k8kElu3Ozk6z2Yxh2IEDB86dO9fZ2VmYjxUCgUAgVgSsUFGyIgQCUaTE" + "43G3263VaktM085DFhOwLq4oT2lhJjVlc7OtT+C9vmB6W4qispr2dvmsvWyVWCwGKzExDxyH/OGQ" + "UYxhGEg8fvbs2X/91389evQo6OHxeDwcDsdisZaWFrVaPTMz4/f7sbWNZ4ZhkslkfX19Mpk8ePDg" + "/v37JRLJ1q1blUol68zJMMz//u///uY3vzly5Ajo2BiGURSl1+tramoIgoCM8WuUBIFAIBD5Bebw" + "aDRqs9kgVWe+JUIgEIjVUFy5n5ZJTq3ZYGeLRqORSEQuly9/57UANzaSyWQgEIDEbAUoXrYBZc/r" + "9UJNcm4P4Diu1WpLpk/EYjGfz5dIJFCai9XbIf4Zw7Cqqiq1Wg1f9vl8vb29fr/fYDA0NzeDWh4M" + "Bk+ePOl2uzdv3qzRaNao+cPPN27caDQaDx06RFHUQw89tGnTJnZ6gqdMoVB4vd4DBw7U1dU1NDRg" + "GJZMJu12+2effSYWi+vr61FsNgKBQJQGFEV5vd7y8vJcnjSZTCYSiRIzPWUP2HwvSUUCgVg7JEmW" + "lZXlW4rMkwencRzHS6MWSDQaXYcR4+xm+dzcXDAYXNDxuJReJNFoFMfxmpoacPbmrifAgRzDMIZh" + "YKnR1tbW0tJy7NgxiURyww03yGQyn883PDz8f//3fwRB7N6922QyrV3NZhims7Pz2muvtVqtGIZd" + "ddVVBoMhpdk77rjjq6++On78ONjSCYKIRCJDQ0OXLl268847Ozo6wA6PQCAQiOIFpn25XF5fX5/j" + "Tf9oNOr3+0vPyTPjwN63x+Ph8XhKpXLtTnYIRElSkqG4OV1q4/Nlq8VicS7Pmw1wHIfEbKU3JpYm" + "mUzCRonf74dbWao9ANel1+ubm5tramq4oebwmpTL5Zs2baqsrGQXGfX19Y8++uhLL7107NixkydP" + "girr9/tNJtPDDz/c09MjEonW2F2gZvP5/DvvvDMUCmm12u7ubu44JAiCYZimpqaf/vSnL7744qlT" + "p/r6+jAMYximrKzsBz/4wfe//32z2Vykb3qU6QeB4AITMnoi1jk8Hk8ul+f4pARBCASC0rCa5ADU" + "VwjE0pTkiwzPfSRPAS6UIaKJz+ejSXBpGIZxOBxyuVwikQQCAR6PJxKJSrXT2BgHKOhVUVGRMmgp" + "irp8+XJZWZlWq8U4dv7JyckjR46cP38+EomUlZUZjcaOjo7Gxsa169hc2eLxuNPpVCgUMpkM++YD" + "xUoyMTExMDDg8Xjgz8bGxra2NliNFdQDuHwSiUQymUTGk1wCESKojFNh4vV6855vElEIFJohqNDk" + "QSAQiNyTBzW7oIA3gc/ni8fjCoViRdbpwnyLZFUqhmHOnz+v1+tLMoJiMZbo0pSPQCFZ0LjEegFk" + "UCpWgAXzvUNBr5SPaJpmfd2LC7jSubm5eDyuVquRXpEz4vG4z+eTSqUZ3CdCZAqn0ymRSKBMIAKR" + "Y5Z4Oa6ljmZJUoAWJgQCkW1QfCaGYRhJkqvQPQpzusy23cloNMKSbp28M1h9NX3FwCrV7Efwf7Zm" + "NYZhkIGcIIhsGGAhnfuCSxkcx/l8PkjCbqXBweJd+jAME4lEotGoQqEozE2uUmWdPOzFiEajKd4n" + "GlHsLDYnJBIJv9+vUCiKcUs3S6D5E4EoeSiKSrHXrndrdunhcrkkEkkJRL8XO0gzyQZQKw72xfIt" + "CwJREMRiMRzH12GiEETBQlGU0+nU6/UowAeBQKwTksnk9PR0WVkZ93WMrNkYVloa0Uprkq+ifZRx" + "ZzmgLsoGJEnmWwQEolCAqX5qakogEBiNRqRpIwoEgUCg0+lQPQsEArGuEAqFKW9hZM0uNZAzLaK0" + "QSMcgeBis9n4fL5Go1mHBSZzA5pzEAgEArEK1oWajd6RhQC6CwgEAoEoLiiKisfjJEmiXYwVgd74" + "CARivZE+762L+EY01xcI62FPB4FAIHJJtgOF1i3Qq36/32q1hkIhhmFQPy8ftO5CIBDZozBn4/R5" + "b12o2dFoNBaLFeYtWT9QFBWLxSA5NgKBQCAyAippniWgV4VCoVKpJEkSJSVBIBCIAgES4uZbiitT" + "4mo2bD9brdbZ2dl4PI407TwSiUSCwSC3vhQCgUAgEIWMXC4vLy+XSCT5FgSBQCCySLEszkHO8fHx" + "YDBY+DL/P1SLDOM6t3DxAAAAAElFTkSuQmCC" + ) + ) + (text "todo: implement inverted \nvideo toggle bit" + (exclude_from_sim no) + (at 387.35 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "0d3cf130-d563-47d3-afc0-c4ba0d9794ae") + ) + (text "check if ~{PL} needs to be clocked\nat the character clock rate" + (exclude_from_sim no) + (at 326.39 181.61 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "1d14ffe5-5a82-400a-922d-19a4dbe9a5ad") + ) + (text "========================================\ndotClock : 12000000 Hz\ncharacterClock : 1500000 Hz\npixelTime : 83.33 ns\nlineTime : 49.33 ms\ntotalCharactersPerLine : 98 characters\nactiveCharactersPerLine : 85 characters\ncharacterWidth : 6\ncharacterHeight : 13\nnrOfTerminalRows : 28\nemptyScanLines : 2\nN : 26\n========================================\nRO : 97 (0x61) - Nr of Horizontal Characters Total.\nR1 : 85 (0x55) - Nr of Horizontal Characters Displayed.\nR2 : 87 (0x57) - Horizontal Sync Position.\nR3 : 4 (0x 4) - Sync width.\nR4 : 25 (0x19) - Vertical Total.\nR5 : 0 (0x 0) - Vertical Total Adjustment.\nR6 : 28 (0x1c) - Nr of Vertical Characters Displayed.\nR7 : 22 (0x16) - Vertical Sync Position (might need manual fine tuning).\nR8 : 0 (0x 0) - Interlace Mode.\nR9 : 14 (0x e) - Max Scanline Address.\nR10 : 205 (0xcd) - Cursor Start Scan Line.\nR11 : 207 (0xcf) - Cursor Stop Scan Line.\nR12 : -1 (0xff) - Start Address (High). Real start address is 0x0000.\nR13 : -1 (0xff) - Start Address (Low). Real start address is 0x0000.\nR14 : -1 (0xff) - Cursor Start Address (High). Cursor will be at position (0, 0).\nR15 : -1 (0xff) - Cursor Start Address (Low). Cursor will be at position (0, 0).\n========================================" + (exclude_from_sim no) + (at 426.72 194.945 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "3725da19-9073-4883-b78d-7270da2aeac8") + ) + (text "char rom" + (exclude_from_sim no) + (at 302.895 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "5aa52d69-82c9-4f25-b0a9-d80741391e08") + ) + (text "vram" + (exclude_from_sim no) + (at 220.98 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "a99bc202-17ee-448e-a061-c48eee426787") + ) + (text "crtc" + (exclude_from_sim no) + (at 60.325 76.835 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "d0b24d14-4b50-4616-9f2b-f5ab9e85151f") + ) + (text "6845 spits out refresh addresses \nin vertical blanking period,\nwhich we don't want" + (exclude_from_sim no) + (at 93.98 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "ec83ab10-e488-4630-bb2f-e2956c81917e") + ) + (label "D1" + (at 49.53 86.36 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "027ea6fc-5d80-4dc4-9d2f-b390e4f25b49") + ) + (label "~{DE}" + (at 336.55 171.45 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "04ad7f82-2310-40c3-bbf1-6567f535f7c5") + ) + (label "MA1" + (at 93.98 86.36 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "0566bc5e-ea4d-4bab-a13d-4ae3ad630b04") + ) + (label "A4" + (at 161.29 93.98 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "059c7bdc-4109-4a7f-afff-5c6937efa747") + ) + (label "VA11" + (at 212.09 111.76 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "05fb9549-df1a-4a4d-83c5-e9302f46d9f9") + ) + (label "RA1" + (at 88.9 124.46 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "07931e29-38b8-4d3f-ae35-09e0da7e2537") + ) + (label "D5" + (at 299.72 96.52 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "08644f04-7028-4ef8-b811-e5cbe8b9ae9b") + ) + (label "RA4" + (at 303.53 171.45 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "09b05898-eef9-4e04-bd04-47280ff61296") + ) + (label "VA1" + (at 147.32 86.36 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "0f137010-b987-4d5f-8079-8e250f2475a4") + ) + (label "SD0" + (at 254 83.82 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "10e0e1ae-2d82-4093-8922-1f43e9e8507a") + ) + (label "D6" + (at 49.53 99.06 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "11a2bebc-6497-4bfa-8ec2-54f6d7d73437") + ) + (label "D0" + (at 49.53 83.82 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "11d7d5cc-fe55-4520-8c3c-c8c55d34e4a7") + ) + (label "VA3" + (at 212.09 91.44 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "13adaa76-de7b-49f3-825f-50af23894048") + ) + (label "VA11" + (at 147.32 147.32 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "1494411b-a3d3-429e-a761-559a637d458f") + ) + (label "D5" + (at 49.53 96.52 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "1689c693-dd75-42e2-9a72-364100af084d") + ) + (label "~{VRAM WE} " + (at 81.28 200.66 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "16ac2272-3caf-4d51-94d2-ca0db8ce3fc1") + ) + (label "VA2" + (at 147.32 88.9 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "1b543a01-c826-4e58-8b13-d7b75b63418c") + ) + (label "RA4" + (at 88.9 132.08 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "1c70142f-442b-4b7b-86e2-045e9d2e012c") + ) + (label "SD4" + (at 259.08 93.98 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "1e33a0af-bb01-4d30-8f84-218bc0b4f1ed") + ) + (label "MA8" + (at 93.98 104.14 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "1e77e43b-df63-4181-8535-ea8722bce393") + ) + (label "A9" + (at 161.29 142.24 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "20c652ef-d206-45cc-9fdb-05b2346a5944") + ) + (label "VA12" + (at 207.01 149.86 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "21bc93d7-73a2-4416-a81b-afb0a01979b8") + ) + (label "MA8" + (at 109.22 139.7 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "24d1e913-10d6-478e-95b0-bfedda10e8bd") + ) + (label "D3" + (at 299.72 91.44 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "273c3c06-a4a2-4ba9-a915-7a1fb9376060") + ) + (label "A0" + (at 161.29 83.82 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "278b2661-147c-4159-ae69-379fc29ff3c3") + ) + (label "MA11" + (at 109.22 147.32 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "2bf3041d-2753-411d-8cf3-f44aa025ff81") + ) + (label "VA7" + (at 212.09 101.6 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "2e030549-31a7-4d8e-b975-e559cb8d2ed0") + ) + (label "MA10" + (at 93.98 109.22 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "2ec74787-8493-4fab-bc3e-bf2556a7a571") + ) + (label "SD3" + (at 254 91.44 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "2f026793-5806-40c0-bc49-7bbbf1be7198") + ) + (label "~{DE}" + (at 118.11 267.97 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "2f0d23ed-0278-466c-8027-28e7544e5385") + ) + (label "A3" + (at 161.29 91.44 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "3077431a-48d2-49ce-a01d-90872628b91f") + ) + (label "VA9" + (at 212.09 106.68 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "30847293-7deb-47fb-97d6-8fb9a5246944") + ) + (label "MA9" + (at 93.98 106.68 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "3146a7ab-9674-4a04-a668-ca9a99db7997") + ) + (label "MA3" + (at 109.22 91.44 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "3259ab8b-1c50-486e-8546-1392cd43c437") + ) + (label "D7" + (at 299.72 101.6 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "33465278-d839-41ab-9f51-cf4a57b0acd3") + ) + (label "VA1" + (at 207.01 86.36 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "3b4d8104-60d1-4450-9b60-d15ca6dd462c") + ) + (label "~{VRAM WE} " + (at 245.11 114.3 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "3bb3758b-9971-4ae6-b1f4-3ee7ea36bf57") + ) + (label "VA4" + (at 212.09 93.98 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "3ca4894a-161c-4def-8807-b8d894ce6c63") + ) + (label "SD1" + (at 259.08 86.36 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "3f6a779a-9f6f-400e-b362-13065307ee9e") + ) + (label "A6" + (at 161.29 99.06 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "40546598-525f-4d69-a45e-85e4a4a910d5") + ) + (label "SD6" + (at 259.08 156.21 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "40a3b017-b14e-4d54-bd6c-b93b4fcf7479") + ) + (label "VA10" + (at 207.01 144.78 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "4212ce8a-b69d-414c-9d57-46851ffa8b0e") + ) + (label "A0" + (at 46.99 111.76 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "4662451b-c469-44a0-80b0-2f9d2e7eff2a") + ) + (label "D4" + (at 49.53 93.98 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "47cb2358-20bd-46c8-bd83-5b35ab69f7ad") + ) + (label "SD4" + (at 259.08 151.13 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "49511074-bfaa-4aba-8148-7fa6f1b565a3") + ) + (label "D1" + (at 299.72 86.36 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "4d484450-230a-4bbd-a3b4-0a7d376826ae") + ) + (label "MA6" + (at 93.98 99.06 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "4f062898-4471-4f73-be20-3ea7de8230a4") + ) + (label "MA2" + (at 109.22 88.9 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "4f5d39b6-844f-4aad-beb6-12785e4a2dba") + ) + (label "MA0" + (at 109.22 83.82 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "506d59cf-36c0-483d-b8fe-3999a872de43") + ) + (label "VA10" + (at 212.09 109.22 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "563a838b-6f0d-4301-b50c-94494b99a68c") + ) + (label "MA4" + (at 93.98 93.98 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "56421b3e-6297-4567-8046-d9dd7967653c") + ) + (label "RA0" + (at 303.53 161.29 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "5859ce4f-717b-4f66-8a0b-967c9f769c36") + ) + (label "VA5" + (at 212.09 96.52 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "589707d9-6694-4bae-9146-0d11f35136e9") + ) + (label "SD5" + (at 254 96.52 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "5b3db7bb-e06d-4a26-9e18-6281b8bd3024") + ) + (label "SD4" + (at 254 93.98 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "5b649ac8-cefd-4a1f-b878-ed45ea863889") + ) + (label "VA9" + (at 207.01 142.24 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "5c333957-361a-4ad0-8afb-17084c8bc2e4") + ) + (label "SD6" + (at 259.08 99.06 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "5d0ac913-35c5-4807-b7df-ce166fd0fc68") + ) + (label "~{DE}" + (at 115.57 109.22 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "5d64a13c-2d58-4b4a-8ed2-f042d8c9910a") + ) + (label "MA9" + (at 109.22 142.24 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "5e03d7d4-3094-4e66-93d5-6b0ed975f0d0") + ) + (label "VA7" + (at 147.32 101.6 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "5f0b8cd3-51ce-4acb-954f-cf3524e398ea") + ) + (label "A10" + (at 161.29 144.78 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "6017448f-8371-44fa-830c-f32b69dd1f98") + ) + (label "VA2" + (at 212.09 88.9 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "60f27d98-fdea-4e72-afb4-a4681f46d61d") + ) + (label "VA3" + (at 207.01 91.44 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "6515e776-367b-4da6-bfdb-0dc7d70a1a5e") + ) + (label "MA2" + (at 93.98 88.9 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "668ddb4c-ab2d-407f-86be-7326da31a336") + ) + (label "SD1" + (at 254 86.36 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "68bdd818-f07d-48f7-bd92-0441a84982eb") + ) + (label "SD7" + (at 259.08 101.6 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "68d2ddff-fca9-4f53-b18c-387c56ee2c1c") + ) + (label "RA0" + (at 88.9 121.92 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "6a3b4c7b-9bb1-462c-80bf-f11a94633c12") + ) + (label "MA12" + (at 109.22 149.86 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "6ad9edfd-64b8-4399-891d-8435645f01f2") + ) + (label "RA1" + (at 303.53 163.83 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "6b8a8e9f-3ce8-4042-87bf-6da9cabbf3e4") + ) + (label "Cursor" + (at 370.84 143.51 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "706544d2-fe0a-41ec-b5bb-b57b5a2a1c33") + ) + (label "MA6" + (at 109.22 99.06 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "711a9131-eb50-4b1b-b53a-de424e951378") + ) + (label "VA2" + (at 207.01 88.9 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "72675c04-e0ff-4acb-9e0a-c793adc08557") + ) + (label "VA11" + (at 207.01 147.32 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "726cb83c-be98-4e35-933c-71bdc59d9096") + ) + (label "VA0" + (at 212.09 83.82 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "74bb745b-f8bf-43b2-b386-6d9d1dee4c3c") + ) + (label "A12" + (at 161.29 149.86 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "75cbe2df-28c7-49a7-aff7-8c0148ac69ed") + ) + (label "VA6" + (at 147.32 99.06 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "77968912-07b2-4c06-8c5a-723333d61c25") + ) + (label "SD1" + (at 259.08 143.51 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "7869e0a5-755e-4ae5-9655-9aa4e2a17740") + ) + (label "VA12" + (at 212.09 114.3 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "787e8707-7726-4c3e-a15e-da95cc89b7f4") + ) + (label "VA12" + (at 147.32 149.86 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "7a9b32c2-3c03-468a-ad03-c39c062504ee") + ) + (label "MA1" + (at 109.22 86.36 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "7b26190b-53e5-434b-bf80-5c5a07f579d0") + ) + (label "MA4" + (at 109.22 93.98 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "7b3e2f02-98be-44cc-a4b1-1d81e7c4f768") + ) + (label "MA7" + (at 93.98 101.6 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "7c307326-e039-4ea2-8924-fbb53f9bf333") + ) + (label "RA2" + (at 88.9 127 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "7ca8570c-ae12-4e5f-99fd-60bcf9068be1") + ) + (label "MA7" + (at 109.22 101.6 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "7dbab33b-9f6f-47b8-8edb-9b7e2d557525") + ) + (label "D0" + (at 299.72 83.82 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "82915626-5211-42ba-9dc1-4617e259e0c6") + ) + (label "A5" + (at 161.29 96.52 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "83496ca2-794b-4bd2-accb-e919a29267f7") + ) + (label "VA4" + (at 147.32 93.98 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "84de5aeb-75fe-4dc0-967b-084bf105e8ce") + ) + (label "VA0" + (at 147.32 83.82 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "874861dc-f705-4656-acdf-92e5c6de367a") + ) + (label "VA9" + (at 147.32 142.24 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "87b0290a-0b67-4e11-92f2-a37813c004bc") + ) + (label "D6" + (at 299.72 99.06 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "8971b9df-95ed-4cb1-90fa-ede80baea291") + ) + (label "VA1" + (at 212.09 86.36 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "89fba14e-a8b4-4265-8aab-644c376aec3d") + ) + (label "RA2" + (at 303.53 166.37 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "89fd05e8-4344-4b64-a96e-cefefbc2ccd4") + ) + (label "VA6" + (at 212.09 99.06 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "8b813268-fa8d-4e66-8d77-a6033d8c40b6") + ) + (label "MA0" + (at 93.98 83.82 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "8eef9f64-3584-43d1-afa3-2323670dd525") + ) + (label "SD2" + (at 254 88.9 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "8efa6e41-690e-4fa2-984e-84869b1e3471") + ) + (label "VA8" + (at 212.09 104.14 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "91f68393-b149-4e72-be61-840f53134666") + ) + (label "RA3" + (at 303.53 168.91 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "92b4e4e2-e2ac-4fb5-ac22-9a60bce04c58") + ) + (label "MA10" + (at 109.22 144.78 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "936f5f69-3b1e-449e-9e7f-b4e15037a4b1") + ) + (label "A2" + (at 161.29 88.9 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "960e8315-c8db-457d-8e02-12091bd43662") + ) + (label "VA8" + (at 147.32 139.7 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "967fb6d0-ae05-4c01-8b47-c3bfb688854f") + ) + (label "MA11" + (at 93.98 111.76 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "9a3889bb-51d4-431c-981c-c686d854d7db") + ) + (label "MA3" + (at 93.98 91.44 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "9bc8da39-f254-42b4-a6bb-233c6a008066") + ) + (label "A11" + (at 161.29 147.32 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "9c5230ea-4f5f-487d-a8d7-6649c62a682c") + ) + (label "SD3" + (at 259.08 148.59 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "9e70315f-0f8c-47d4-a1cd-6b58260ddee2") + ) + (label "D7" + (at 49.53 101.6 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "9f5e89ef-51c6-4e52-9eef-4429203a1e5c") + ) + (label "MA12" + (at 93.98 114.3 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "a01d8c86-bacf-438d-915f-d0dddda090ee") + ) + (label "MA5" + (at 93.98 96.52 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "a11a18e2-df4d-4b40-990b-08351b370f09") + ) + (label "D3" + (at 49.53 91.44 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "a36bd52d-57e3-43fa-8df1-85a393e085b9") + ) + (label "VA5" + (at 147.32 96.52 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "a75ccbbf-a288-47d4-a1b3-dd759411372b") + ) + (label "DE" + (at 88.9 137.16 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "a9b03514-a68b-4b32-9c25-26d25c3aa53d") + ) + (label "VA3" + (at 147.32 91.44 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "ac981d84-bcd2-4097-80e4-9ddcf2fa6b20") + ) + (label "~{DE}" + (at 115.57 165.1 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "b0ccfe2d-db07-4fd7-aa56-5b5ab965b5ca") + ) + (label "SD2" + (at 259.08 88.9 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "b1496335-c372-4e78-ba23-c55d0fccacb3") + ) + (label "VA4" + (at 207.01 93.98 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "b3416484-eed4-44f9-bf82-0f33262415c7") + ) + (label "SD3" + (at 259.08 91.44 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "b939eec8-8b7a-45a0-970d-cb3b7d2af9c7") + ) + (label "VA7" + (at 207.01 101.6 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "bb65c4a7-2e71-4058-b8dc-d47414001feb") + ) + (label "SD0" + (at 259.08 140.97 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "c22ecab2-1222-4bfb-b8fa-a291a7426b77") + ) + (label "SD[0..8]" + (at 256.54 137.16 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "c323fd27-3da5-4cb7-b8b6-f4f2036c6f36") + ) + (label "RA3" + (at 88.9 129.54 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "c4c9bbf2-7f12-4f01-b7f1-0dc5b88f4224") + ) + (label "SD5" + (at 259.08 153.67 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "ca283ae9-4a21-4cec-978a-c4d25083f79e") + ) + (label "VA[0..14]" + (at 209.55 68.58 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "ca8f2e08-fca1-4462-8d46-a2593802ef0c") + ) + (label "VA5" + (at 207.01 96.52 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "ccc6ea9e-8c00-4f96-8575-006c6d2297b3") + ) + (label "D2" + (at 299.72 88.9 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "d07afe84-69ea-40d8-bc14-d2980c9457c0") + ) + (label "VA10" + (at 147.32 144.78 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "d0917aa8-96ab-4a5a-bcb6-e1c8824f514a") + ) + (label "SD7" + (at 259.08 158.75 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "d41d3339-ec09-41bd-bc7c-7c1a1a45787b") + ) + (label "MA5" + (at 109.22 96.52 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "d665a177-9478-472f-bd4d-7688bb6097ce") + ) + (label "SD2" + (at 259.08 146.05 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "da33a1d6-daa8-4738-8124-04afb0a4ffb9") + ) + (label "VA0" + (at 207.01 83.82 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "dda94797-c90f-447c-9810-89e05a4cec3d") + ) + (label "SD6" + (at 254 99.06 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "e276f984-e634-4916-b720-2d1cd47e8c2b") + ) + (label "D4" + (at 299.72 93.98 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "e91732b5-962f-4639-a111-e614b44b1765") + ) + (label "VA8" + (at 207.01 139.7 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "eb33e991-60de-4c75-97a9-080d780faaae") + ) + (label "A7" + (at 161.29 101.6 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "f4c4178a-48e7-4fb2-a676-65b60a4ed6c2") + ) + (label "A8" + (at 161.29 139.7 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "f4e6377a-e748-4b96-ac2e-9b9578602930") + ) + (label "A1" + (at 161.29 86.36 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "f59f9534-1527-4b9e-8205-28fd9a997772") + ) + (label "SD5" + (at 259.08 96.52 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "f6033642-319c-4ed6-8f8b-2bbd2d4eba3a") + ) + (label "DE" + (at 102.87 267.97 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "f633a8d8-f730-4ead-a4f1-2e6c4c964a2d") + ) + (label "D2" + (at 49.53 88.9 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "f88cfaeb-c90a-4156-b9eb-22ee488c7327") + ) + (label "SD7" + (at 254 101.6 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "fa966d03-f9d7-4e8a-a7a0-4a5e8bcf37da") + ) + (label "Cursor" + (at 88.9 144.78 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "fc15d6d6-d8f6-4dce-8e53-02f89052479b") + ) + (label "VA6" + (at 207.01 99.06 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "fd28cf67-9fa5-4fb4-aafb-d814dd76cc94") + ) + (label "SD0" + (at 259.08 83.82 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "ff9fe3cf-5b88-481c-b586-ffdd5fcb091d") + ) + (global_label "Video" + (shape output) + (at 386.08 140.97 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "0877be5e-792d-4aa8-8e2b-9beaf06c702c") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 394.1452 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "~{RESET}" + (shape input) + (at 58.42 116.84 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "0a64c5b2-5653-4a59-9569-3e961c396bd5") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 49.6897 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "VRAM LSB" + (shape input) + (at 219.71 116.84 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "170766e7-f76f-4d43-bae8-e9bbc90f1cb9") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 207.351 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "~{VRAM}" + (shape input) + (at 267.97 109.22 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "236a425f-d03e-4e8e-9551-b08adee56154") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 260.0862 109.22 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "~{VRAM}" + (shape input) + (at 50.8 207.01 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "25662b45-a128-4f28-81d7-994a8a6b6d41") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 42.9162 207.01 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "VRAM Page" + (shape input) + (at 102.87 252.73 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "286d54ea-1b59-4efb-b5c2-4c7f62666c4a") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 89.362 252.73 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "R{slash}~{W}" + (shape input) + (at 58.42 106.68 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "2e09edbc-d9ac-47f6-86e3-9afe792ccd48") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 51.3829 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "R{slash}~{W}" + (shape input) + (at 267.97 106.68 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "3547587f-07c4-407d-853a-8b3ec586d890") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 260.9329 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "Charset 1" + (shape input) + (at 303.53 176.53 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "49dfd157-2be8-4501-87a4-fba83886ae95") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 291.1711 176.53 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "1.5M" + (shape input) + (at 267.97 163.83 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "568c6af5-93b6-4672-9715-068ac6629025") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 260.5096 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "~{CRTC}" + (shape input) + (at 58.42 109.22 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "5dd7207c-8c37-4198-8d72-8f65ef1590fe") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 50.6572 109.22 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "~{R}{slash}W" + (shape input) + (at 66.04 195.58 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "7b2db075-3b5c-492c-95d2-987aaafb0fd2") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 59.0029 195.58 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "HSync" + (shape output) + (at 88.9 139.7 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "7d4ff416-13e4-4c43-94ca-41af71340017") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 97.6304 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "2M" + (shape input) + (at 58.42 114.3 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "8d4466bd-c549-4c01-afb3-940ed258117e") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 52.7739 114.3 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "~{VRAM Page}" + (shape output) + (at 118.11 252.73 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "8daeba46-e2d2-425b-b650-ab4185545f28") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 131.618 252.73 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "VSync" + (shape output) + (at 88.9 142.24 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "96759c5e-0811-4148-8643-782360ac0769") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 97.3885 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "12M" + (shape input) + (at 336.55 168.91 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "a2410255-e501-4882-9001-c76763d7d770") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 329.6944 168.91 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "~{VRAM}" + (shape input) + (at 170.18 109.22 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "b8e8ab80-6c73-4cc6-b4bd-71baeabe3e87") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 162.2962 109.22 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "D[0..8]" + (shape bidirectional) + (at 302.26 63.5 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "c54f62df-bbbb-4aaa-b2bb-73e3ffcd87f4") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 312.9485 63.5 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "A[0..8]" + (shape input) + (at 44.45 181.61 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "c573dda1-69e5-4a19-a47c-3e2ea9b041e1") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 35.0542 181.61 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "~{VRAM}" + (shape input) + (at 170.18 165.1 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "cda7bdca-50fd-41f9-b9c5-fa06f79db713") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 162.2962 165.1 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "~{IRQ}" + (shape output) + (at 95.25 137.16 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "ce418e7e-fd0f-4858-bb7f-42f2baa47d9a") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 101.4405 137.16 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "VRAM MSB" + (shape input) + (at 219.71 119.38 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "d5897809-5e4d-4d83-a6f3-e2760ca1dc2e") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 206.9277 119.38 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "Charset 0" + (shape input) + (at 303.53 173.99 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "d7c829bf-174b-4ee1-b41e-42f958d34191") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 291.1711 173.99 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "1.5M" + (shape input) + (at 58.42 121.92 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "fafb9c43-b60a-4b19-8e01-19fae89e0914") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 50.9596 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 128.27 132.08 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "005c4838-30c8-4d48-89a2-ef88dab64e59") + (property "Reference" "#PWR078" + (at 128.27 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 128.27 127 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 128.27 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 128.27 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 128.27 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "ffb2f1fa-b4ad-4661-ba91-661389b32ffc") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR078") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 128.27 116.84 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "020734e2-9db3-44ab-adaa-adb1a17b885f") + (property "Reference" "#PWR079" + (at 128.27 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 128.27 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 128.27 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 128.27 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 128.27 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "798c340c-f7ec-4f67-b43c-19529186a81f") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR079") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 331.47 229.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0ac66a88-5d67-4dbf-ba98-d4321b7d394a") + (property "Reference" "C14" + (at 335.28 228.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 335.28 231.14 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 332.4352 233.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 331.47 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 331.47 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "13a8b7cc-5e63-44e0-90ab-8aa2ab5e8279") + ) + (pin "2" + (uuid "7ba78f61-6743-4f89-ac24-14266a836c42") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "C14") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 182.88 116.84 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0befd997-4e33-4cf2-bc72-14a639eea5b6") + (property "Reference" "#PWR055" + (at 182.88 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 182.88 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 182.88 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 182.88 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 182.88 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "da4cd67e-277b-4ac2-8c8c-7e2e238ce2eb") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR055") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 73.66 149.86 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "0c1b6189-c896-44bc-b65b-56734bd7309b") + (property "Reference" "#PWR012" + (at 73.66 156.21 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 73.66 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 73.66 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 73.66 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 73.66 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "d962796e-4df5-4c54-ba13-a18dc959eeb8") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR012") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 280.67 116.84 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "113bb69e-f02d-48a9-9ef4-db4376ef0afd") + (property "Reference" "#PWR038" + (at 280.67 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 280.67 121.285 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 280.67 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 280.67 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 280.67 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "50749c2e-4946-4c6a-ab9a-49176e92cd08") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR038") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 280.67 133.35 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "120e2e25-bca7-4b29-9b59-7da7930805d3") + (property "Reference" "#PWR0103" + (at 280.67 137.16 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 280.67 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 280.67 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 280.67 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 280.67 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "adeccf14-57e4-454d-852f-d1874385a078") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR0103") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC245") + (at 280.67 96.52 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "1736d452-6638-4660-b2a8-176e83fe8667") + (property "Reference" "U13" + (at 282.8641 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "74HC245" + (at 282.8641 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-20_W7.62mm_Socket" + (at 280.67 96.52 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" + (at 280.67 96.52 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 280.67 96.52 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "106392e5-f95c-48d1-a090-ce709b0193ac") + ) + (pin "10" + (uuid "b108f8f7-c5a4-4789-a978-20b4dfbb8c61") + ) + (pin "11" + (uuid "513ae6af-4414-4a5d-8fa2-ea30e666cec3") + ) + (pin "12" + (uuid "fa06a3ab-3ae8-4ebf-9783-a1beb648ae77") + ) + (pin "13" + (uuid "61495c69-a5a0-4bd8-8225-f08ab732c3fd") + ) + (pin "14" + (uuid "c3c9a403-acd9-4811-9f6b-d0611f5bb97f") + ) + (pin "15" + (uuid "9780afd0-0c5c-4b5c-9e68-5b73683b02a3") + ) + (pin "16" + (uuid "0bbf7bb1-d6d5-4b08-8321-d3b16ba2f32b") + ) + (pin "17" + (uuid "ecb3a9ff-a0a3-4892-82f1-60357ff50dc3") + ) + (pin "18" + (uuid "9b3e8b20-9d93-447d-be71-e4d183eadd62") + ) + (pin "19" + (uuid "0a27d0f3-6da2-465f-b400-90b8d43dfec8") + ) + (pin "2" + (uuid "ccf9d588-e3ee-43b5-a692-99b9759b4eb5") + ) + (pin "20" + (uuid "a543d69f-f9ed-462f-a4fb-c1eb7934597f") + ) + (pin "3" + (uuid "1549b46a-6f42-4af2-b762-881883815a22") + ) + (pin "4" + (uuid "44b80b71-a371-4931-9271-acdae5e3a994") + ) + (pin "5" + (uuid "78adbe73-1aff-47f9-bf28-4d3f0813aae5") + ) + (pin "6" + (uuid "f6b89691-9527-4c23-8c9e-f673d9d7406f") + ) + (pin "7" + (uuid "98458a1c-2ae3-41c9-b711-40e7e8928909") + ) + (pin "8" + (uuid "cfec1f2c-8b32-48fd-bf70-9b0c8f0bc6b5") + ) + (pin "9" + (uuid "524da193-560c-4fbb-bbc3-ac0b456dd971") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U13") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 182.88 172.72 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "18311894-63aa-4e95-8312-2d1a0def0112") + (property "Reference" "#PWR046" + (at 182.88 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 182.88 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 182.88 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 182.88 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 182.88 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "50323dbd-c8cc-45e2-adae-9217405a3310") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR046") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC165") + (at 349.25 153.67 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "1937d8e6-56c0-4e66-a337-9c5981f15065") + (property "Reference" "U10" + (at 351.4441 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "74HC165" + (at 351.4441 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-16_W7.62mm_Socket" + (at 349.25 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/74HC_HCT165.pdf" + (at 349.25 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 349.25 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f1922dff-b299-4e70-978e-db802b883285") + ) + (pin "10" + (uuid "33f816f8-a52c-4856-83e8-e709c86f7651") + ) + (pin "11" + (uuid "c0c19fd8-8de9-4c7e-a12e-0ff8f6b853c2") + ) + (pin "12" + (uuid "4357bcda-cc30-491b-90db-6b0caee54359") + ) + (pin "13" + (uuid "fa8119ef-5280-4414-858c-24feabd6a5b1") + ) + (pin "14" + (uuid "1711e0d5-406c-4612-a267-6cc4863019c9") + ) + (pin "15" + (uuid "1234e480-5c9a-4057-a3d8-d2a28c66d9ea") + ) + (pin "16" + (uuid "afe883ff-b3c1-47a6-ae9f-fa30f33e41d0") + ) + (pin "2" + (uuid "e733c8dd-9c30-44b0-87cd-8f8b8230ad0d") + ) + (pin "3" + (uuid "b3f795d1-2b31-4b70-9ef0-86227db2c4f2") + ) + (pin "4" + (uuid "95d32355-ae92-491e-b52c-485701a1d668") + ) + (pin "5" + (uuid "e7efcd87-d5a5-43c1-bfdb-03fe4b591f79") + ) + (pin "6" + (uuid "9da3e4bb-fd64-4890-80da-3a43640cc49b") + ) + (pin "7" + (uuid "b86e22e7-fb2c-4666-b46c-4042808e6c77") + ) + (pin "8" + (uuid "4426c07f-3a4b-43b0-a92f-7eae9bf83b4f") + ) + (pin "9" + (uuid "2ce1c6b6-eb9e-4e30-ad8d-30477a1ca4a2") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U10") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC14") + (at 58.42 207.01 0) + (unit 3) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "19a34d72-092f-47aa-bf97-5316e41bf84d") + (property "Reference" "U14" + (at 58.42 198.12 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC14" + (at 58.42 200.66 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 58.42 207.01 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" + (at 58.42 207.01 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 58.42 207.01 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "192b7e12-f1b5-44f2-8249-359ed7957a31") + ) + (pin "2" + (uuid "a6069966-2d5a-402d-95fe-902859526547") + ) + (pin "3" + (uuid "07843629-4614-4bf0-814a-bb5b0bfbe933") + ) + (pin "4" + (uuid "ec4f642b-fdbb-4028-9537-a94eb2c10132") + ) + (pin "5" + (uuid "5b9c8366-b060-4d26-8d51-43d81b7c3798") + ) + (pin "6" + (uuid "27adc571-719d-4ad7-a4e6-77f8cb177131") + ) + (pin "8" + (uuid "1001060b-6d91-4095-8fd0-a1ade25a6c5d") + ) + (pin "9" + (uuid "9939df3f-4e4d-4398-8768-223dc90ab199") + ) + (pin "10" + (uuid "771311ed-d702-4e8c-bc80-26f26c4f6179") + ) + (pin "11" + (uuid "7acde5d5-883d-4d50-8478-efb479f94fa7") + ) + (pin "12" + (uuid "3b198545-e88e-41bd-9fea-29efedff8188") + ) + (pin "13" + (uuid "440ed419-0b0d-41fc-99e2-1b3712d5a3fa") + ) + (pin "14" + (uuid "12d2a95d-63bd-4462-9893-d07209bfc79f") + ) + (pin "7" + (uuid "8d0f4749-982e-4602-8412-9eaaf446667b") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U14") + (unit 3) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 170.18 106.68 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "1aae064c-7e14-4c96-9b41-1ae393e33952") + (property "Reference" "#PWR039" + (at 173.99 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 166.37 106.68 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 170.18 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 170.18 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 170.18 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c6959795-dc23-4083-a2e0-e2ce14184a26") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR039") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 182.88 76.2 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "1db32bb7-a85a-4881-87bc-1e91e057191c") + (property "Reference" "#PWR062" + (at 182.88 80.01 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 182.88 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 182.88 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 182.88 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 182.88 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "98753a4a-5e21-4184-baba-5ae54d5b4b9b") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR062") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 236.22 250.19 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "253410b6-d3ce-4c2e-9a58-d9e8e9d99077") + (property "Reference" "#PWR070" + (at 236.22 254 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 236.22 245.11 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 236.22 250.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 236.22 250.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 236.22 250.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "a7890409-27b1-4208-b358-2286d58b20dc") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR070") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 115.57 162.56 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "2ae870b0-a51c-4dfa-bf9f-82ca4547531b") + (property "Reference" "#PWR042" + (at 119.38 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 111.76 162.56 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 115.57 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 115.57 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 115.57 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "1b5fd129-d000-4f78-a20f-ce0b6de20645") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR042") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC00") + (at 73.66 200.66 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "2c857413-8846-4be7-9852-8981997d79d0") + (property "Reference" "U12" + (at 73.6517 191.77 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC00" + (at 73.6517 194.31 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 73.66 200.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hc00" + (at 73.66 200.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 73.66 200.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "64f5d624-f578-4201-b7c2-89ec505b4a11") + ) + (pin "2" + (uuid "e2a57ac3-e5e7-4eb8-af3d-a30e132d84d5") + ) + (pin "3" + (uuid "dd72ff90-a68b-476b-81ff-0bb0edd332c2") + ) + (pin "4" + (uuid "7b4d89fd-3de7-4feb-8c84-94a4c9f28825") + ) + (pin "5" + (uuid "a42ea1a2-857a-4fe8-b246-b4cf6eff8922") + ) + (pin "6" + (uuid "99f9d049-dbe9-4d3a-b0a1-78980ec8312f") + ) + (pin "10" + (uuid "57a8db50-0003-476c-80f7-06dc37558de1") + ) + (pin "8" + (uuid "59eddfaf-5447-41a1-96f6-fa09881ecb62") + ) + (pin "9" + (uuid "5743f7eb-5a15-438c-8bf4-53956ffc4ab9") + ) + (pin "11" + (uuid "f26df5c9-063d-4486-ad4a-001221af9be9") + ) + (pin "12" + (uuid "83d257c7-12ac-4d7d-8055-275e7b0556da") + ) + (pin "13" + (uuid "534c1623-65cf-48e7-a396-495fd54a4a8b") + ) + (pin "14" + (uuid "56d47e2b-ecf5-4d22-9dd5-f5514aa9e0ae") + ) + (pin "7" + (uuid "0cf88d0c-1c21-4bf0-973e-fcca5e0b754a") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U12") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 295.91 181.61 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "3049d0e5-666f-42a5-9580-22c41ddc49cc") + (property "Reference" "#PWR026" + (at 299.72 181.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 292.735 181.61 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 295.91 181.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 295.91 181.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 295.91 181.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "006e27a0-2152-47ad-a686-92eae4d42b56") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR026") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "kitty:R") + (at 299.72 181.61 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "344fe0e3-57ca-48f5-9701-c73e1a39af5a") + (property "Reference" "R5" + (at 299.72 175.895 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "3.3k" + (at 299.72 178.435 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal" + (at 299.72 183.388 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 299.72 181.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 299.72 181.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "45bb5f3c-38d3-4e3f-ac84-7ef7b0370d8d") + ) + (pin "2" + (uuid "47ef3db7-180a-4f45-a4e9-d962e79db421") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "R5") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 237.49 226.06 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "36c335fd-bb48-40ee-90e6-b95f976f7769") + (property "Reference" "#PWR097" + (at 237.49 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 237.49 220.98 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 237.49 226.06 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 237.49 226.06 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 237.49 226.06 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "d5f5004b-fd96-442a-a9c7-1836ec2ad186") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR097") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 316.23 229.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "3b7a5c67-89fe-43d4-a960-a58624b00578") + (property "Reference" "C13" + (at 320.04 228.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 320.04 231.14 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 317.1952 233.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 316.23 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 316.23 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "567dd32d-0586-4728-a959-60c0f3b10212") + ) + (pin "2" + (uuid "7e2c2331-30a5-4424-96bc-b6a245db6cf6") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "C13") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 300.99 229.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "47d14f30-41ba-4f5a-91a8-3c35ea4e1092") + (property "Reference" "C12" + (at 304.8 228.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 304.8 231.14 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 301.9552 233.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 300.99 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 300.99 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "8c6ba3f8-3108-469e-9adf-7a8a31b6d1d6") + ) + (pin "2" + (uuid "c7779862-190b-4c87-a241-ee8ae364ab0e") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "C12") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC245") + (at 128.27 152.4 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "48879747-9393-41fc-8a1e-99ed5f3432f3") + (property "Reference" "U30" + (at 130.4641 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "74HC245" + (at 130.4641 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-20_W7.62mm_Socket" + (at 128.27 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" + (at 128.27 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 128.27 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "244946fa-4072-4204-a222-c3d0da413da7") + ) + (pin "10" + (uuid "dff42ed2-6e85-4dad-9091-8b4e1b07271e") + ) + (pin "11" + (uuid "4d0f1949-75b9-4dea-a0cf-757cfd98c45f") + ) + (pin "12" + (uuid "cf5334e3-1a65-47fe-9187-05d4608b51bc") + ) + (pin "13" + (uuid "703bf412-1656-4806-8b4e-ab897ce49cd5") + ) + (pin "14" + (uuid "9a7595c8-37b8-4d7e-b475-42cafaeda28a") + ) + (pin "15" + (uuid "6d13a254-5608-4127-8524-710b561d8755") + ) + (pin "16" + (uuid "c3af1036-5e5c-448c-95af-a56ce4463bde") + ) + (pin "17" + (uuid "847a13f3-3c4b-4230-88a3-2720c3935075") + ) + (pin "18" + (uuid "53996533-45a9-47bb-b9a6-5b5927e28ad8") + ) + (pin "19" + (uuid "74d4ef6d-edcc-48c8-acdd-637ee43beafe") + ) + (pin "2" + (uuid "bf9d4c4c-563e-4a7f-9fdb-7274890b8257") + ) + (pin "20" + (uuid "05dbb3e1-c4d1-41a7-85d2-57e7c7734dca") + ) + (pin "3" + (uuid "83c6b415-71bb-4fdb-9de4-7489f0c258f3") + ) + (pin "4" + (uuid "3905772a-8b42-46bc-acfc-8d0d5b449692") + ) + (pin "5" + (uuid "f4e93af0-8be6-4d3c-9ffd-040589ed2a9c") + ) + (pin "6" + (uuid "86a1c331-2bbf-4337-b8d6-0e1f56c4a99b") + ) + (pin "7" + (uuid "ab3a7ba3-fea4-42fa-9736-f57bcd5fb028") + ) + (pin "8" + (uuid "84cd9f14-3897-4816-9881-bbde763d1bd4") + ) + (pin "9" + (uuid "32f74b66-c0f5-43d3-94d0-ae12ad009ab8") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U30") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 280.67 173.99 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "49c9117a-339b-4715-a11b-0ad76dac191d") + (property "Reference" "#PWR0101" + (at 280.67 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 280.67 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 280.67 173.99 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 280.67 173.99 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 280.67 173.99 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "44085dee-dd36-40fb-97b3-bec1e2f304d6") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR0101") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC14") + (at 110.49 267.97 0) + (unit 2) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "4f5188ca-50cc-40c0-bb1e-a23d3ba15027") + (property "Reference" "U14" + (at 110.49 259.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC14" + (at 110.49 261.62 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 110.49 267.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" + (at 110.49 267.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 110.49 267.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f4ddf280-665f-4377-aa27-ec8f59fa88d4") + ) + (pin "2" + (uuid "a2e5f28c-b6ff-4976-9396-004141f7e16e") + ) + (pin "3" + (uuid "ff473e45-6de8-4607-9c7d-8331181fe75f") + ) + (pin "4" + (uuid "4906c10c-8c93-4c36-b7f7-240f55b00094") + ) + (pin "5" + (uuid "8780b839-c344-4405-a353-9baa01c32aa3") + ) + (pin "6" + (uuid "f0dd1dc0-5af9-40c4-a88e-68120203fac0") + ) + (pin "8" + (uuid "f4e8f256-22be-4768-880c-25468f242f66") + ) + (pin "9" + (uuid "6ee77c4c-67c7-44a0-9464-d64c1cfa8983") + ) + (pin "10" + (uuid "2ae1cd6c-2fa7-4414-9a7b-3b626f611a76") + ) + (pin "11" + (uuid "d564c706-73dc-4ef2-9f96-a6c5a4e8d949") + ) + (pin "12" + (uuid "415c92ef-0361-446c-a7e8-78ef4c062d5f") + ) + (pin "13" + (uuid "f1af3e80-840f-443f-9195-d505153dea5c") + ) + (pin "14" + (uuid "926a0df9-3a8f-4ec8-994d-8248188a6a98") + ) + (pin "7" + (uuid "6e545a4f-a7d5-40c0-86c7-c759675a4514") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U14") + (unit 2) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 267.97 229.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "5504135d-f216-4084-9bb7-f58499db26b8") + (property "Reference" "C10" + (at 271.78 228.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 271.78 231.14 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 268.9352 233.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 267.97 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 267.97 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "54415992-e534-4217-a773-9ebc5347c8ca") + ) + (pin "2" + (uuid "7ad2a087-f451-4104-a8e7-560bb89f2ba9") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "C10") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 303.53 186.69 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "5b225afb-11b1-46e5-9bb7-3a82bf589e6c") + (property "Reference" "#PWR060" + (at 297.18 186.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 299.72 186.69 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 303.53 186.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 303.53 186.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 303.53 186.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f8ac1b67-56bb-49f9-b03d-81eee02cfcc0") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR060") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 349.25 130.81 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "5c532a0e-2aed-4e2e-bdaf-361767cd329a") + (property "Reference" "#PWR0107" + (at 349.25 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 349.25 125.73 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 349.25 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 349.25 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 349.25 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "4d512fbb-e99c-43fd-8d09-a5ac963e02d3") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR0107") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC32") + (at 161.29 255.27 0) + (unit 2) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "60634f04-fb35-4f3d-a9dc-a13539495ee3") + (property "Reference" "U24" + (at 161.29 246.38 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC32" + (at 161.29 248.92 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm" + (at 161.29 255.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc32.pdf" + (at 161.29 255.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 161.29 255.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f4d07b20-8798-46f1-a7ad-a34c8e56b99a") + ) + (pin "2" + (uuid "ed48a256-27ec-4d99-b9fc-a2c542c9b8d7") + ) + (pin "3" + (uuid "e505f0ad-e599-4ad3-bcf9-1562e72f4d66") + ) + (pin "4" + (uuid "ee652032-fa65-48d1-90cd-94befcc2bc02") + ) + (pin "5" + (uuid "fde652b9-d992-4ab4-b9ec-73a0163cf04f") + ) + (pin "6" + (uuid "ba57e12b-4d69-479d-983f-a7d371c4cc03") + ) + (pin "10" + (uuid "19d99688-f940-4c85-bb84-8f5b06037648") + ) + (pin "8" + (uuid "edd37880-d474-4c5b-ae46-ded34288d2db") + ) + (pin "9" + (uuid "f7f5e08b-e715-46ee-89cd-c357683171cf") + ) + (pin "11" + (uuid "38976b02-7d3a-459a-b56e-10fc36994418") + ) + (pin "12" + (uuid "90d333bb-9e22-4884-aa7e-e9205119cb98") + ) + (pin "13" + (uuid "c909b981-308f-4f6e-bbbb-11b4faaadee2") + ) + (pin "14" + (uuid "09303ef2-112c-41b4-b0b7-f2d8ea5b26ad") + ) + (pin "7" + (uuid "37bf7904-09aa-4bf4-b845-e6a44e45a4ec") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U24") + (unit 2) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 313.69 191.77 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "60d1500e-52c3-410a-a463-e23be4cefa40") + (property "Reference" "#PWR0102" + (at 313.69 198.12 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 313.69 196.85 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 313.69 191.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 313.69 191.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 313.69 191.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "8981f455-f792-46be-8dd4-ec8f2ecffe19") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR0102") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 128.27 172.72 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6829b9fc-7613-4537-9d98-ee0826faeb82") + (property "Reference" "#PWR077" + (at 128.27 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 128.27 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 128.27 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 128.27 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 128.27 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "1f1ab9c8-e901-4168-95e7-9c108a92aa25") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR077") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 349.25 179.07 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "69a73cbc-2869-4022-9192-b351fa4b74fb") + (property "Reference" "#PWR0108" + (at 349.25 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 349.25 184.15 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 349.25 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 349.25 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 349.25 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c0a21c1c-d159-495d-bee6-b5b700518f1f") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR0108") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 284.48 229.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6a569ef5-0d1b-41f4-a8e0-b8cc280d9c7a") + (property "Reference" "C11" + (at 288.29 228.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 288.29 231.14 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 285.4452 233.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 284.48 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 284.48 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "724cee37-a02d-41fa-a3af-c33ad2341024") + ) + (pin "2" + (uuid "c0b2894c-e8a1-4881-801f-4c9550f6a424") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "C11") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 377.19 229.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7152b283-cdd8-4526-8a9e-b11133a490bd") + (property "Reference" "C17" + (at 381 228.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 381 231.14 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 378.1552 233.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 377.19 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 377.19 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9d48a48b-641d-4012-a18e-92ac35e17438") + ) + (pin "2" + (uuid "08c194e3-230b-4040-8b6d-c4e3573cfdfd") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "C17") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 267.97 166.37 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "756c4b3b-14eb-4428-a9be-a3e20b156ae1") + (property "Reference" "#PWR043" + (at 261.62 166.37 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 264.16 166.37 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 267.97 166.37 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 267.97 166.37 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 267.97 166.37 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "3363460e-4b31-42ab-bafa-65ebaba90b18") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR043") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC32") + (at 204.47 255.27 0) + (unit 4) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "79fd13f7-c5b2-4745-8c15-945728d5eb4c") + (property "Reference" "U24" + (at 204.47 247.015 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC32" + (at 204.47 249.555 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm" + (at 204.47 255.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc32.pdf" + (at 204.47 255.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 204.47 255.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "dd40e6cb-e84d-4294-94a2-160427915b40") + ) + (pin "2" + (uuid "02023f30-05f8-4971-b0bf-5b39615441c0") + ) + (pin "3" + (uuid "249b60e2-8d78-49b3-b231-9da0f78f117d") + ) + (pin "4" + (uuid "bcc022cf-c220-45c0-981e-866625f18853") + ) + (pin "5" + (uuid "8fc666c1-a8b5-4514-a8dc-1700ef57debe") + ) + (pin "6" + (uuid "96d83d42-9b40-4aa4-8c6f-51778c236c96") + ) + (pin "10" + (uuid "f6a74287-92e4-45d2-bfcd-a303d497198f") + ) + (pin "8" + (uuid "568b531e-f945-470b-b9af-f927e5a85b37") + ) + (pin "9" + (uuid "da6108d8-52c7-4da8-8f42-a3e67bdf44a7") + ) + (pin "11" + (uuid "70e2814f-16d1-4458-ad1f-764b28791732") + ) + (pin "12" + (uuid "88f1da73-58f2-4870-a58c-74efbfc20c2a") + ) + (pin "13" + (uuid "d1736958-eb96-4743-bd09-0be2bed44165") + ) + (pin "14" + (uuid "d08e6f87-a0db-4a51-a9c2-a039055152d6") + ) + (pin "7" + (uuid "bf8cbf50-5472-49da-9e47-dde77095899c") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U24") + (unit 4) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC14") + (at 30.48 220.98 0) + (unit 5) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7c1c816f-7a2b-48e3-a0c5-3faa104d42bb") + (property "Reference" "U14" + (at 30.48 212.09 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC14" + (at 30.48 214.63 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 30.48 220.98 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" + (at 30.48 220.98 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 30.48 220.98 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b65b302e-5e6f-49fa-979e-d4b2260888a6") + ) + (pin "2" + (uuid "cb0e4355-ed95-427f-bc42-727d432f8457") + ) + (pin "3" + (uuid "5e70cdc2-7c46-43d2-83c7-9a9569d1b554") + ) + (pin "4" + (uuid "5d2c3163-b98f-4e0c-ac5f-9cabe641514f") + ) + (pin "5" + (uuid "bc5da27b-aacc-4976-8363-95b8a45768f8") + ) + (pin "6" + (uuid "74f35ffb-ca40-48ea-b1bd-296fddf6d580") + ) + (pin "8" + (uuid "c2a30e52-9b40-4cb9-80a5-ee881e1ffdde") + ) + (pin "9" + (uuid "84a5cf3a-3bd9-46ed-8316-3ced03cd0c60") + ) + (pin "10" + (uuid "58143198-fb40-4c2d-b70e-26af69065074") + ) + (pin "11" + (uuid "95a9c74e-2abe-4c8d-b655-243b3086102b") + ) + (pin "12" + (uuid "2401f564-c442-45ab-acf2-5879759559e1") + ) + (pin "13" + (uuid "e57f9be6-6347-4b0f-917b-999781e5bc88") + ) + (pin "14" + (uuid "14b70801-1073-4655-8aa8-033f9590e8f7") + ) + (pin "7" + (uuid "c273f716-b96e-492f-8582-7cc00dd6b406") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U14") + (unit 5) + ) + ) + ) + ) + (symbol + (lib_id "Memory_RAM:CY62256-70PC") + (at 232.41 101.6 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7cbafe16-e970-4ded-bcb0-402f063a3385") + (property "Reference" "U4" + (at 234.6041 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "62256" + (at 234.6041 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-28_W15.24mm" + (at 232.41 104.14 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://ecee.colorado.edu/~mcclurel/Cypress_SRAM_CY62256.pdf" + (at 232.41 104.14 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 232.41 101.6 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "14" + (uuid "8fe958cb-17f2-4591-8210-82aa994cfa8f") + ) + (pin "28" + (uuid "526ec648-16ef-4a48-822c-52a9c22f7304") + ) + (pin "1" + (uuid "db6caf66-e83e-47e4-b85e-0bf1b227b90d") + ) + (pin "10" + (uuid "e9dbab0a-b765-436c-a0de-ec65c05a6cbb") + ) + (pin "11" + (uuid "47e0444c-bd05-4792-8437-f7208e277183") + ) + (pin "12" + (uuid "153c0ff4-2ac2-4a80-9d95-dd31bce94c39") + ) + (pin "13" + (uuid "30797d3a-faa1-49e6-8a48-001359bbeaa9") + ) + (pin "15" + (uuid "78731fe6-8944-440d-bf89-c5d960aa00ae") + ) + (pin "16" + (uuid "bf8dcc41-27f8-4bce-8441-03da155c3ee3") + ) + (pin "17" + (uuid "df22278f-e01f-450a-a043-382caead2b91") + ) + (pin "18" + (uuid "cbec5934-c295-4e79-82fa-c01c2cc05f8d") + ) + (pin "19" + (uuid "9d5ba15c-c98f-46a9-b39c-1f4997f4452a") + ) + (pin "2" + (uuid "6e835900-b22b-4b76-ad14-eae1263124ba") + ) + (pin "20" + (uuid "2ce5a84e-d20c-43eb-8d63-548c09ec0c38") + ) + (pin "21" + (uuid "890db407-2a3c-44a9-bae7-03df10a31081") + ) + (pin "22" + (uuid "4564e84d-9f46-4546-9429-7ea3c80b7c51") + ) + (pin "23" + (uuid "0d216d98-1b66-4e39-ab27-4847ee0579cc") + ) + (pin "24" + (uuid "5a30fba6-d5bb-4d4a-a297-f8b35ec8e2c8") + ) + (pin "25" + (uuid "ec5e1bdb-7b6c-493e-b877-aec399457c50") + ) + (pin "26" + (uuid "3394678c-ec70-4211-a209-c780f8ca17a5") + ) + (pin "27" + (uuid "cf44f94b-08ee-4531-9690-a526645bcb61") + ) + (pin "3" + (uuid "60b8679f-a0ad-46d3-a692-556665854dba") + ) + (pin "4" + (uuid "e367260c-e275-4b6c-872c-69ed5d71c234") + ) + (pin "5" + (uuid "8d38122f-eac4-4a97-a6e5-b9e7608f3048") + ) + (pin "6" + (uuid "bfe4a8fc-4bcc-4018-a875-5a724c68c563") + ) + (pin "7" + (uuid "1b939a45-387a-48a6-83e4-dc667820fd3f") + ) + (pin "8" + (uuid "551cec48-86c8-4844-9894-26c9ba24603f") + ) + (pin "9" + (uuid "07aace3b-c201-4e78-b04c-66a696b9a2b3") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 128.27 76.2 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7f09c7fd-b7e8-4806-b751-8eb4ae51dec1") + (property "Reference" "#PWR080" + (at 128.27 80.01 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 128.27 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 128.27 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 128.27 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 128.27 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "844d058e-46c8-41f7-a3cb-7f863ef8ff33") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR080") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 389.89 229.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "813a343b-c7c6-45ee-a4b0-0927b0b8a0cd") + (property "Reference" "C24" + (at 393.7 228.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 393.7 231.14 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 390.8552 233.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 389.89 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 389.89 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "e7db9b97-2cab-4e0d-ba81-eb4f04f946cc") + ) + (pin "2" + (uuid "55211353-561d-4c44-8c09-ea53804f0066") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "C24") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 232.41 124.46 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "8666c010-359b-4d29-81c6-d5cec5f2c33f") + (property "Reference" "#PWR0104" + (at 232.41 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 232.41 129.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 232.41 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 232.41 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 232.41 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f7a6a183-1968-4b10-95e9-2612cd615491") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR0104") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC373") + (at 280.67 153.67 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "893ed6ad-b05c-4dba-88a0-bff6df381c4a") + (property "Reference" "U9" + (at 282.8641 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "74HC373" + (at 282.8641 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-20_W7.62mm_Socket" + (at 280.67 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/cd54hc373.pdf" + (at 280.67 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 280.67 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "ea48720c-78d2-4159-bc92-eb56720d1f9f") + ) + (pin "10" + (uuid "56f669f3-1f92-470a-98d0-35dfc71956b6") + ) + (pin "11" + (uuid "f502dace-e0fe-4285-b49c-5b7ccd5bf76a") + ) + (pin "12" + (uuid "fbfacb7f-7d5a-4e7e-b291-cff5e269785a") + ) + (pin "13" + (uuid "da0dbd68-738d-4a69-85d7-8a10520a8507") + ) + (pin "14" + (uuid "57d7a190-3926-4bb2-bfe1-e532d8a84364") + ) + (pin "15" + (uuid "a3c886ec-408b-48a5-a57c-ca196d0cd74b") + ) + (pin "16" + (uuid "483d7684-2bb6-4b22-b4e6-c779d3e8a63a") + ) + (pin "17" + (uuid "14d58584-f022-4dbd-8a0b-8380985f5657") + ) + (pin "18" + (uuid "484dcaf8-2679-481e-8e07-ba90584200f2") + ) + (pin "19" + (uuid "567585ef-a71b-44db-8d99-b098e7491534") + ) + (pin "2" + (uuid "0477c998-6653-43e4-85e2-eeeb6db6697e") + ) + (pin "20" + (uuid "aeb7415b-437a-40ac-86d6-6e8ad7e0035b") + ) + (pin "3" + (uuid "1e1c8db7-e183-4653-a330-5a87cb8d1086") + ) + (pin "4" + (uuid "7153a988-3fd5-4016-965e-461cf5b37019") + ) + (pin "5" + (uuid "1ec3d6d9-81b9-4b3d-9477-62cb6539fd13") + ) + (pin "6" + (uuid "212caf1d-06d7-43ca-af8a-421c2a02d63a") + ) + (pin "7" + (uuid "e3850e35-313f-42ae-92dc-001c2835eb8a") + ) + (pin "8" + (uuid "83344d21-217c-4b3d-83fb-f0135594f306") + ) + (pin "9" + (uuid "619ca47a-5d00-43b7-b30f-8cd541b0fd01") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U9") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC245") + (at 182.88 152.4 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "89e7a056-36a4-47f1-85bf-d1bd808116ce") + (property "Reference" "U22" + (at 185.0741 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "74HC245" + (at 185.0741 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-20_W7.62mm_Socket" + (at 182.88 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" + (at 182.88 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 182.88 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f90398f6-19af-40d3-8c85-99f2951c52fe") + ) + (pin "10" + (uuid "d93158cf-da36-40d5-8a7a-e2a758dd7558") + ) + (pin "11" + (uuid "3324cc9e-f2be-4ea6-9d4c-d4333a613fe4") + ) + (pin "12" + (uuid "ecaed3cd-c30a-44a9-b0ef-1cd3db082d08") + ) + (pin "13" + (uuid "ee9a56a8-89cf-4f39-b74d-fa21a9ac2722") + ) + (pin "14" + (uuid "3329830e-f79c-4109-bef1-be9ca853250f") + ) + (pin "15" + (uuid "68d0fdaa-15fa-4933-8049-86b644a4416e") + ) + (pin "16" + (uuid "1ac2d962-22d7-45a4-b13c-82798309b869") + ) + (pin "17" + (uuid "c7d97b76-99fc-444b-a4c3-21a0340ac4bc") + ) + (pin "18" + (uuid "631f1b6e-8bc3-4925-af0e-09790431ae81") + ) + (pin "19" + (uuid "9fa1ceba-e922-4460-8a69-98c821c37aaf") + ) + (pin "2" + (uuid "a8fb0acb-fd5c-4983-80ba-69d856f553ab") + ) + (pin "20" + (uuid "7d2b5589-4af9-44dd-a003-72237145e328") + ) + (pin "3" + (uuid "59f4f61a-f28f-4ef0-a6d1-fc16deafa57e") + ) + (pin "4" + (uuid "1432a852-aa6d-447b-aa95-d4404ae47e87") + ) + (pin "5" + (uuid "821bfea4-149c-4b45-a7b6-c1f545c3bbba") + ) + (pin "6" + (uuid "ef9e1e33-a658-4052-9e70-9014a1911e01") + ) + (pin "7" + (uuid "b7e29022-5428-40e8-811e-8b012ddc878c") + ) + (pin "8" + (uuid "34aeefcd-59e7-41b2-928f-12e9b0ccdb52") + ) + (pin "9" + (uuid "eadc868f-9e06-4d6a-8afe-2520b396914b") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U22") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 182.88 132.08 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "89e97274-1b80-43c6-b775-fdb2d31ab797") + (property "Reference" "#PWR061" + (at 182.88 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 182.88 127 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 182.88 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 182.88 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 182.88 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "7b93809c-2e06-4aaa-be3b-82ebdab894e8") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR061") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 336.55 138.43 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "90264cc8-7e0c-4ca6-ae5a-c184c8335fe1") + (property "Reference" "#PWR015" + (at 330.2 138.43 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 332.74 138.43 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 336.55 138.43 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 336.55 138.43 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 336.55 138.43 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "685d15eb-0f28-435b-93c0-c0fc5b76d206") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR015") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 280.67 76.2 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "95d93cdd-f18e-4d3b-8756-1c3f8bcebbba") + (property "Reference" "#PWR037" + (at 280.67 80.01 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 280.67 71.755 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 280.67 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 280.67 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 280.67 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "40130b25-9a5b-4571-ae0a-9ab80bc00b4d") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR037") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "GPU:MC6845") + (at 73.66 114.3 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a22e014e-cc81-42df-97b8-e3d3187fb137") + (property "Reference" "U2" + (at 75.8541 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "MC6845" + (at 75.8541 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-40_W15.24mm" + (at 74.93 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + (property "Datasheet" "http://pdf.datasheetcatalog.com/datasheet_pdf/motorola/MC6845L_and_MC6845P.pdf" + (at 73.66 114.3 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 73.66 114.3 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "dca61a03-fe23-43a1-b30f-1b4fe7de77e4") + ) + (pin "10" + (uuid "44584872-be87-41f9-ae1e-8335fb1de23a") + ) + (pin "11" + (uuid "e3d7a382-4688-42d5-a967-8d5b61b7e02a") + ) + (pin "12" + (uuid "02b57076-6677-4f40-afd4-1f2da1a977e5") + ) + (pin "13" + (uuid "8b8c2fba-2d51-4709-be7f-07b1e8199805") + ) + (pin "14" + (uuid "c2734e8d-6e8b-4dba-9e39-bf6fe58155af") + ) + (pin "15" + (uuid "3be2cc49-5339-47ea-8e11-3b99c6e5f511") + ) + (pin "16" + (uuid "811d3084-c8ea-4bf9-a453-df79dcbf45e1") + ) + (pin "17" + (uuid "980baa13-a415-4040-86e9-5a26748982e8") + ) + (pin "18" + (uuid "08df3d5d-b432-43d4-96a0-eb27b98232a5") + ) + (pin "19" + (uuid "7b9c4f4d-7628-471d-b9a4-7fd6b6100d8b") + ) + (pin "2" + (uuid "906ac4a7-6085-4773-89bd-2539c29fb540") + ) + (pin "20" + (uuid "1b61cc3d-0d54-4aaa-840b-2a21e36304fa") + ) + (pin "21" + (uuid "5f4a64e5-170b-47b6-81cc-5d18ca8b05a4") + ) + (pin "22" + (uuid "c9ffa7a8-2018-4583-888f-d3eda8dd668d") + ) + (pin "23" + (uuid "dddd322b-faa8-4366-954c-0e8b197e23ea") + ) + (pin "24" + (uuid "9faddf53-cd68-4d80-b6e7-99e59de5eb61") + ) + (pin "25" + (uuid "928a2a26-a444-4ba7-bf07-f822c608cda0") + ) + (pin "26" + (uuid "a6ae6608-bc89-46fb-89e2-b0ba164936bf") + ) + (pin "27" + (uuid "80cec3bf-6622-4640-89ed-5437c884951d") + ) + (pin "28" + (uuid "cfe272d0-e415-4d5a-833b-1b2349bab8c1") + ) + (pin "29" + (uuid "9785f13a-1f9f-469a-91b6-528cb256fc58") + ) + (pin "3" + (uuid "138a8f9b-80e5-44b7-b586-386c5a7b52d8") + ) + (pin "30" + (uuid "501ba2bf-a635-44c3-b5ba-f3004d0402e0") + ) + (pin "31" + (uuid "c13d5cc0-3476-4433-8f5f-10d672bac0a8") + ) + (pin "32" + (uuid "d077e92d-dbea-4495-8368-a1dfcd4a7783") + ) + (pin "33" + (uuid "8eb796ba-1210-4f75-a772-bd18400c78ef") + ) + (pin "34" + (uuid "2c444983-21d0-48b3-80d3-f43af01c045e") + ) + (pin "35" + (uuid "da2cbbdf-b11c-4112-8526-6d424fdd409e") + ) + (pin "36" + (uuid "0b6d60c1-b60e-43ad-a071-2798e7ae2dee") + ) + (pin "37" + (uuid "c74fcba3-3544-4370-a6f0-121556f3fcbe") + ) + (pin "38" + (uuid "cc819e45-5cb3-4bf8-803f-79634d12bf36") + ) + (pin "39" + (uuid "69d754a1-4596-4fc5-85e0-2d5326facbf2") + ) + (pin "4" + (uuid "d14b837b-2f24-4e31-adf7-a93bfb725021") + ) + (pin "40" + (uuid "16b70980-87d6-4612-a7a3-5877f5ccf6b0") + ) + (pin "5" + (uuid "8383acb7-210a-468c-baf6-2d22e6463cca") + ) + (pin "6" + (uuid "f6717cf8-be48-4a1b-8d94-0179ddf11ba4") + ) + (pin "7" + (uuid "c8f64f05-4673-48db-96a3-808aae1aae7d") + ) + (pin "8" + (uuid "0addfc63-e596-417e-91d8-b14555bd3da1") + ) + (pin "9" + (uuid "0620ec92-8939-4573-8344-db1acde3da01") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 313.69 135.89 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "aa482d3a-bee5-4e76-b879-1b17f2a65d16") + (property "Reference" "#PWR0106" + (at 313.69 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 313.69 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 313.69 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 313.69 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 313.69 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "bf29909e-7d00-4833-8b12-e90b249925bd") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR0106") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 73.66 78.74 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ac478579-a4e6-46b8-84a6-d454fa3e09d2") + (property "Reference" "#PWR0105" + (at 73.66 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 73.66 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 73.66 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 73.66 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 73.66 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "31c374c6-97ab-47f1-ae0e-70980264911e") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR0105") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 237.49 233.68 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "b2d7dded-3fd0-43ee-9037-96b4d7b39ec7") + (property "Reference" "#PWR098" + (at 237.49 240.03 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 237.49 238.76 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 237.49 233.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 237.49 233.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 237.49 233.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "d24a561f-53e7-4d01-b830-470091ac1e7b") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR098") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 361.95 229.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "b5c887ca-fe46-45e1-9681-4fcfc0cf527b") + (property "Reference" "C16" + (at 365.76 228.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 365.76 231.14 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 362.9152 233.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 361.95 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 361.95 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "07fde7ca-c48f-4025-92c8-df7739b6fb8d") + ) + (pin "2" + (uuid "2764d176-500d-4fa6-a8e0-510afb1cdcf0") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "C16") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC14") + (at 66.04 259.08 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "b60952c4-bed7-4f0c-8a42-e4743748d1ac") + (property "Reference" "U16" + (at 66.04 250.19 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC14" + (at 66.04 252.73 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 66.04 259.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" + (at 66.04 259.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 66.04 259.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "04e979b1-a3fe-44d6-9c58-1181a93affd7") + ) + (pin "2" + (uuid "78f329d8-ccc7-4987-9828-ee6d212aebfb") + ) + (pin "3" + (uuid "29a0647c-882c-44bb-b945-30ee8b74e13f") + ) + (pin "4" + (uuid "6e650bd4-4c60-416e-bd3b-f09ffc32d6d0") + ) + (pin "5" + (uuid "e0c001b9-9160-48b9-b4a9-46bc780eac92") + ) + (pin "6" + (uuid "5da49bd6-225e-4056-862d-bb9fd49c6942") + ) + (pin "8" + (uuid "92b5b0d3-a675-43d8-a206-6c2c2bbb965f") + ) + (pin "9" + (uuid "b0c5be7d-c977-4a25-a2a6-ad84e02d09b7") + ) + (pin "10" + (uuid "33f4f413-0c8f-44d4-a803-117a690be11e") + ) + (pin "11" + (uuid "a7851074-bb73-4653-8fbb-0b8da340098e") + ) + (pin "12" + (uuid "9e600557-4a9d-438b-84c1-4304c0e1cd32") + ) + (pin "13" + (uuid "4b106871-0284-416d-85c5-cd9f7d32efb8") + ) + (pin "14" + (uuid "256ca080-7d51-4bbe-a00f-412f4c630dcb") + ) + (pin "7" + (uuid "e4207d18-1232-44d7-be3b-dbead12140f5") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U16") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC14") + (at 110.49 252.73 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "b968ec20-b85f-486b-ae6b-28decd68020a") + (property "Reference" "U14" + (at 110.49 243.84 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC14" + (at 110.49 246.38 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm_Socket" + (at 110.49 252.73 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC14" + (at 110.49 252.73 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 110.49 252.73 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f6afeaf3-e5f5-4867-bb5b-3b75594bc9e5") + ) + (pin "2" + (uuid "355d312e-0180-41b5-a507-7b13f3ba4118") + ) + (pin "3" + (uuid "ffe352fb-a328-4fb2-a7d3-d8aaa906c5b5") + ) + (pin "4" + (uuid "bedb667c-1faa-4771-8fc7-158bb4c3a376") + ) + (pin "5" + (uuid "207d9701-d1a9-4d7c-a0bc-6889d86bae33") + ) + (pin "6" + (uuid "76e4eca5-af1b-4adc-908f-a6c0e303f306") + ) + (pin "8" + (uuid "9ea3c356-a7f3-40c2-ad53-d519c97e3ad8") + ) + (pin "9" + (uuid "c894925b-5bee-4162-bd99-f01a5b79d24b") + ) + (pin "10" + (uuid "4a318f47-e666-49bb-b282-01b21e1f823f") + ) + (pin "11" + (uuid "020256f5-9d6f-471b-9d8f-2ae60238e34c") + ) + (pin "12" + (uuid "2eb07bd5-ea9c-4de3-89b9-99c05522b668") + ) + (pin "13" + (uuid "c597ff10-cad0-4bbc-a2cb-eecd8e0c09a3") + ) + (pin "14" + (uuid "c354151e-c50a-4470-9c03-d606e04b21ea") + ) + (pin "7" + (uuid "5cbd3ddc-2375-43ed-9959-4595904f30f7") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U14") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC32") + (at 180.34 255.27 0) + (unit 3) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "bdbe74c0-8691-413c-b286-6a9161afad81") + (property "Reference" "U24" + (at 180.34 246.38 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC32" + (at 180.34 248.92 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm" + (at 180.34 255.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc32.pdf" + (at 180.34 255.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 180.34 255.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "aa0b9435-41db-46f5-8ced-19802fd23161") + ) + (pin "2" + (uuid "0d542ea5-10b9-40e0-b250-5bf4582c0f9c") + ) + (pin "3" + (uuid "e333938b-f2d2-402a-9338-8a04d3158f96") + ) + (pin "4" + (uuid "a2dba30a-c75c-4146-abc2-e0e729d5023d") + ) + (pin "5" + (uuid "99400d00-8919-4a96-a7ba-1070d507087d") + ) + (pin "6" + (uuid "030dae26-eab6-401e-a398-5eea66c27f8d") + ) + (pin "10" + (uuid "65b1e766-cef0-4db5-ad52-fb90e57ef69d") + ) + (pin "8" + (uuid "c0aaddb9-d8d4-467a-9185-7db79785c846") + ) + (pin "9" + (uuid "b6a5e61a-572e-44d3-bdac-62ebcd7dfdc8") + ) + (pin "11" + (uuid "442c669a-638a-473b-886f-6964fdbb30d7") + ) + (pin "12" + (uuid "f7d8549a-3c0e-4e71-9dc9-4ff7857b8a4c") + ) + (pin "13" + (uuid "58b9b87c-b153-49d4-812a-6e28b2bed13b") + ) + (pin "14" + (uuid "693c2657-eb36-4a67-9bdb-325a59f5babd") + ) + (pin "7" + (uuid "a3afb1be-a548-4daf-9ad4-be3fea5028ee") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U24") + (unit 3) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 115.57 106.68 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "be70130b-cc9a-460f-ac57-be047c585c29") + (property "Reference" "#PWR016" + (at 119.38 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 111.76 106.68 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 115.57 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 115.57 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 115.57 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b69a5e63-846b-4f2b-8690-427645c394d8") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR016") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 170.18 162.56 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "bf0901ed-0872-42c9-8d86-2ce98cf16237") + (property "Reference" "#PWR045" + (at 173.99 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 166.37 162.56 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 170.18 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 170.18 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 170.18 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "8b361745-8534-4c53-99e2-b589f302e315") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR045") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 237.49 229.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c660d28a-fcd5-4c38-9a57-472d06883230") + (property "Reference" "C8" + (at 241.3 228.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 241.3 231.14 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 238.4552 233.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 237.49 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 237.49 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "0369a686-3bcf-4a4b-97e5-589f059ee3d9") + ) + (pin "2" + (uuid "2fbcb6a8-55a1-4041-b54c-5a2f5d30e9c1") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "C8") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 236.22 275.59 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "cadd5f69-4e5c-47d5-96f0-00b4ee838324") + (property "Reference" "#PWR071" + (at 236.22 281.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 236.22 280.67 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 236.22 275.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 236.22 275.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 236.22 275.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "980562c6-464d-4c0e-902f-63008508c144") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR071") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC32") + (at 236.22 262.89 0) + (unit 5) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "d4bfb194-6663-4efa-ab61-02d300712a0d") + (property "Reference" "U24" + (at 243.205 261.62 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "74HC32" + (at 243.205 264.16 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm" + (at 236.22 262.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc32.pdf" + (at 236.22 262.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 236.22 262.89 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "7da34a82-77f6-4b17-83bd-91b5128bedee") + ) + (pin "2" + (uuid "66a47dc6-7786-41d8-b99e-06859481a083") + ) + (pin "3" + (uuid "e4905f46-5b7c-4ccc-9a9a-c3a26dedd16a") + ) + (pin "4" + (uuid "ff5fc3a9-9afc-44e3-8e93-b30b7e041a56") + ) + (pin "5" + (uuid "9f0b9a62-2b53-474d-b157-6766b938e462") + ) + (pin "6" + (uuid "55bf517c-17a5-40e2-a85a-6a741b8d6235") + ) + (pin "10" + (uuid "1636f901-9426-47f7-89ce-500578df0054") + ) + (pin "8" + (uuid "786aad44-0da9-4279-aa2b-14a6dd6f6715") + ) + (pin "9" + (uuid "8812b99f-ee9c-42db-9356-f1428c901332") + ) + (pin "11" + (uuid "49bc59a9-c6c4-4f2d-bd92-d7623adb362f") + ) + (pin "12" + (uuid "925ae97a-1852-468d-bcc5-d7dc76e9b340") + ) + (pin "13" + (uuid "6bc6f7bd-9ef0-490b-95fe-23eca046ab58") + ) + (pin "14" + (uuid "e3e41c41-ff76-4069-9cb9-00235dc26e0b") + ) + (pin "7" + (uuid "01433f9e-824f-4953-ba02-522821058c9b") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U24") + (unit 5) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC245") + (at 182.88 96.52 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e1f19541-aeb2-4bdd-a213-d70de359b80e") + (property "Reference" "U21" + (at 185.0741 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "74HC245" + (at 185.0741 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-20_W7.62mm_Socket" + (at 182.88 96.52 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" + (at 182.88 96.52 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 182.88 96.52 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "57a3d42c-b4a6-460f-b3f4-8c0d099a6466") + ) + (pin "10" + (uuid "c64c1133-3d7e-46aa-b5e7-fbf83942b619") + ) + (pin "11" + (uuid "7dd1da8a-e4a5-4282-ae98-e41775569796") + ) + (pin "12" + (uuid "80533bd9-d8a9-45fa-baba-356170de0777") + ) + (pin "13" + (uuid "fb43d5f0-e35a-4c43-a142-09a7e71f9892") + ) + (pin "14" + (uuid "519f958e-f5b0-452e-b7bf-c3d0baa0ef58") + ) + (pin "15" + (uuid "728c2419-e529-409f-9764-908ea23c4922") + ) + (pin "16" + (uuid "5292649a-4f4d-4b64-9592-9ca63ae77e08") + ) + (pin "17" + (uuid "a91a9be5-6e21-4fec-b605-cbb3bc467869") + ) + (pin "18" + (uuid "8024216d-150f-465d-b97e-45bb0613982d") + ) + (pin "19" + (uuid "f52b9949-9849-4564-bbd1-dd7f21a2d2ae") + ) + (pin "2" + (uuid "7ac8b566-938e-43f2-90f0-301479b04bee") + ) + (pin "20" + (uuid "9809b7b5-628c-475b-afea-28d435210aaf") + ) + (pin "3" + (uuid "4447e9a4-cdf7-4e0f-bb33-03bee9c03706") + ) + (pin "4" + (uuid "a44571f3-79c1-4763-a205-60e6db5f9367") + ) + (pin "5" + (uuid "356c4efc-c537-4fc1-898f-fec712dbae1c") + ) + (pin "6" + (uuid "72c2b335-a422-4584-b1b6-31764aff470a") + ) + (pin "7" + (uuid "6eec66da-7581-455c-a242-52ece3634f25") + ) + (pin "8" + (uuid "b0ed7ebb-8d7b-42f3-9374-2b47a528c7d1") + ) + (pin "9" + (uuid "46e50357-42ec-4651-aa17-26c9e4f1dd4f") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U21") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 347.98 229.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e84acca2-e365-430f-811c-44c4362903a0") + (property "Reference" "C15" + (at 351.79 228.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 351.79 231.14 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 348.9452 233.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 347.98 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 347.98 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "152dbd4d-78e0-40e1-943a-acee30a2777d") + ) + (pin "2" + (uuid "95d9a14f-d534-4ee0-93ce-5a30392ec2a4") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "C15") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC245") + (at 128.27 96.52 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "eb3ad1a8-1737-48db-a62b-438fac70099e") + (property "Reference" "U17" + (at 130.4641 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "74HC245" + (at 130.4641 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_DIP:DIP-20_W7.62mm_Socket" + (at 128.27 96.52 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74HC245" + (at 128.27 96.52 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 128.27 96.52 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "822ce87a-a490-4cf3-aa5a-0aa175020cd8") + ) + (pin "10" + (uuid "de96bef2-84af-47ba-8d0f-56dfa70a71a8") + ) + (pin "11" + (uuid "fb7ef02d-5d54-4933-957e-c7b9220705e1") + ) + (pin "12" + (uuid "e94bc05e-0ee2-41fc-8a51-c8bed9fa376d") + ) + (pin "13" + (uuid "ae07a78f-9192-4625-aa33-620577ed31a7") + ) + (pin "14" + (uuid "627a2185-94de-4d64-a30a-c01be2fbc013") + ) + (pin "15" + (uuid "e52d76ee-b0f7-499c-bdce-649b93489973") + ) + (pin "16" + (uuid "98315ec8-b056-4d12-b4b8-94d8ec69b8fc") + ) + (pin "17" + (uuid "b4a2e7e8-f00d-4a5a-ad36-5fd5c0484c07") + ) + (pin "18" + (uuid "a1067f89-e2bb-4fee-92a0-0b0104f2bff1") + ) + (pin "19" + (uuid "7bf709c1-4f03-41e9-aad7-63c741dbf1a0") + ) + (pin "2" + (uuid "6a00afc0-764d-4fc7-8bee-c2052048283a") + ) + (pin "20" + (uuid "ef77e3b2-1cb8-47c1-848e-294d7558d8d9") + ) + (pin "3" + (uuid "6e434901-d144-42fe-b060-b5329142ed29") + ) + (pin "4" + (uuid "c9c15d2e-6c54-4870-8b4d-7881fbedf750") + ) + (pin "5" + (uuid "acc5ab23-b4ac-4380-ad5b-e7904d4816b1") + ) + (pin "6" + (uuid "4997f9c5-2139-4fa3-bf93-c3e4cbe0793d") + ) + (pin "7" + (uuid "aa190dcb-f6a9-45e2-84b6-2b05f5fd564e") + ) + (pin "8" + (uuid "676b5ca7-d8ee-47ce-94f0-a88d1d606feb") + ) + (pin "9" + (uuid "138d7272-3169-4a24-8779-d9b613b5b10c") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U17") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 336.55 163.83 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "eef57f75-8dcf-47d9-8573-b30b892cba49") + (property "Reference" "#PWR059" + (at 330.2 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 333.375 163.83 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 336.55 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 336.55 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 336.55 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "14793d89-ddc5-4f96-b9d9-a0c558ec9410") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR059") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "74xx:74HC32") + (at 378.46 140.97 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f37bab1d-ba47-4b66-bd27-d2cc9deb4c5b") + (property "Reference" "U24" + (at 378.46 149.225 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "74HC32" + (at 378.46 146.685 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-14_W7.62mm" + (at 378.46 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74hc32.pdf" + (at 378.46 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 378.46 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "7b8ed574-3cea-4ba0-bff5-8b0db118c88c") + ) + (pin "2" + (uuid "79f223e8-0de9-46c4-9517-2efd544e9a0c") + ) + (pin "3" + (uuid "283a254c-d833-45da-b49e-afbdff9b94ad") + ) + (pin "4" + (uuid "74197b5f-26a1-4c41-90bb-95ef4735294e") + ) + (pin "5" + (uuid "09545120-e3f5-41c6-8e55-d25204a6e8ec") + ) + (pin "6" + (uuid "94e5bfbc-cc61-45dc-b2c0-3c173ab315f9") + ) + (pin "10" + (uuid "23afb7af-70fe-4660-8a93-312fb3b164f2") + ) + (pin "8" + (uuid "cb5eda77-0ede-4f88-86b0-70083008e8c0") + ) + (pin "9" + (uuid "557a68da-1176-4d5a-8903-b542c6777416") + ) + (pin "11" + (uuid "a98f10ca-4997-48f7-9f0e-5484f835712a") + ) + (pin "12" + (uuid "663775e6-a5b1-445c-a9f9-d30f6e12f1ea") + ) + (pin "13" + (uuid "9cd2c94a-261c-4d6d-adc0-a51547fd173c") + ) + (pin "14" + (uuid "168d416f-2396-4489-a251-759c879862a7") + ) + (pin "7" + (uuid "6f064695-2b7c-445c-9e78-132c52990691") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U24") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+5V") + (at 232.41 78.74 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f37e6988-47b3-402b-8be8-e91320629ea9") + (property "Reference" "#PWR010" + (at 232.41 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+5V" + (at 232.41 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 232.41 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 232.41 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 232.41 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "da0deefb-15cc-4a7f-94f0-7cc7b38c621d") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR010") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 252.73 229.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f7f6e2d8-892d-4f04-a76b-38cf085a9663") + (property "Reference" "C9" + (at 256.54 228.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 256.54 231.14 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" + (at 253.6952 233.68 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 252.73 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 252.73 229.87 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9130797c-028e-48c2-add0-2e8de6497624") + ) + (pin "2" + (uuid "9337e112-5f02-41be-96d5-2df31f6457fd") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "C9") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 58.42 127 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "fdc652f1-138a-41a4-aad8-4a55e3ca57b1") + (property "Reference" "#PWR040" + (at 52.07 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 54.61 127 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "" + (at 58.42 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 58.42 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 58.42 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "71cf5d8c-d4c9-467c-964d-37143732e383") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "#PWR040") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Memory_EEPROM:28C256") + (at 313.69 163.83 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ff53e05d-5a38-45fd-8fb6-51a3bc02a9f3") + (property "Reference" "U3" + (at 315.8841 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "28C256" + (at 315.8841 135.89 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_LCC:PLCC-32_THT-Socket" + (at 313.69 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" + (at 313.69 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "" + (at 313.69 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "10" + (uuid "6a34e46e-1c48-4e1f-a92a-931f566b8603") + ) + (pin "11" + (uuid "a4478e93-c9d5-4cb3-9fbc-8701265851b4") + ) + (pin "13" + (uuid "5cb384ff-1e8c-4610-b7e8-2ce07ae92cfd") + ) + (pin "14" + (uuid "35971132-0f20-44b7-9c43-3df9563586f6") + ) + (pin "15" + (uuid "a83f84b5-fa17-4ee3-9cc5-584b975b589f") + ) + (pin "16" + (uuid "7e24e6fe-2344-45e1-af36-e9d02a947dee") + ) + (pin "18" + (uuid "3bb09a54-dd39-4e88-9a47-41d8e8bd7949") + ) + (pin "19" + (uuid "7359ab5d-e549-4c00-803e-e7be5dbd755f") + ) + (pin "2" + (uuid "3a735fa1-cf77-4be1-b350-c3037ed8a415") + ) + (pin "20" + (uuid "bfbe6219-b6d6-476a-ac89-2995e46cdf25") + ) + (pin "21" + (uuid "5cf18894-7ced-4611-a5f4-6653a4bad108") + ) + (pin "22" + (uuid "dacc1847-8dc1-4883-af08-37876482ace1") + ) + (pin "23" + (uuid "a645d5a3-39b1-464e-a8fe-3c2b5f29d19c") + ) + (pin "24" + (uuid "67c78ea9-d4c0-4ebb-9b6b-3eca9686397b") + ) + (pin "25" + (uuid "39a92a2c-7c3a-46ef-a1ce-a61972b23d87") + ) + (pin "27" + (uuid "d26a61a9-0c13-4131-bfa5-0ed6cf7c7bc5") + ) + (pin "28" + (uuid "a9f173aa-2408-4e52-9dbc-54b494aac12b") + ) + (pin "29" + (uuid "264b2e76-b704-46ee-bc8e-86ed6d572a70") + ) + (pin "3" + (uuid "81a7debf-291c-4561-b8e5-733ce7be1745") + ) + (pin "30" + (uuid "4c3589a6-d3a1-4182-9625-4bd1610f7959") + ) + (pin "31" + (uuid "86888823-ed7a-4f60-91ec-08d6217d6bae") + ) + (pin "32" + (uuid "8db5e7f3-e5bf-4039-8474-dc26c4c1856e") + ) + (pin "4" + (uuid "3a542f6a-ab7d-4e39-8398-b362c3aa781a") + ) + (pin "5" + (uuid "6b621333-5196-4aad-ad5f-cf4595726f2a") + ) + (pin "6" + (uuid "57587e8b-67cf-4e1f-8972-8f73a7c2d78f") + ) + (pin "7" + (uuid "fb4a3a42-2714-427e-8f47-1db39a022411") + ) + (pin "8" + (uuid "4f3d88d5-7c7a-4fdd-864e-86496baf2d77") + ) + (pin "9" + (uuid "3d91328c-6b25-47d1-ab3d-288a3cb95ca5") + ) + (instances + (project "george" + (path "/c2284e4e-9652-4cdf-884d-a267b847753c/5668d45a-577e-422e-a10c-8d3d9009d39a" + (reference "U3") + (unit 1) + ) + ) + ) + ) +) \ No newline at end of file diff --git a/~_autosave-george.kicad_pcb.lck b/~_autosave-george.kicad_pcb.lck deleted file mode 100644 index 63e8356..0000000 --- a/~_autosave-george.kicad_pcb.lck +++ /dev/null @@ -1 +0,0 @@ -{"hostname":"Klines-MacBook-Air","username":"kline"} \ No newline at end of file